scholarly journals Time Dependent Dielectric Breakdown in Copper Low-k Interconnects: Mechanisms and Reliability Models

Materials ◽  
2012 ◽  
Vol 5 (9) ◽  
pp. 1602-1625 ◽  
Author(s):  
Terence K.S. Wong
2003 ◽  
Vol 766 ◽  
Author(s):  
Ahila Krishnamoorthy ◽  
N.Y. Huang ◽  
Shu-Yunn Chong

AbstractBlack DiamondTM. (BD) is one of the primary candidates for use in copper-low k integration. Although BD is SiO2 based, it is vastly different from oxide in terms of dielectric strength and reliability. One of the main reliability concerns is the drift of copper ions under electric field to the surrounding dielectric layer and this is evaluated by voltage ramp (V-ramp) and time dependent dielectric breakdown (TDDB). Metal 1 and Metal 2 intralevel comb structures with different metal widths and spaces were chosen for dielectric breakdown studies. Breakdown field of individual test structures were obtained from V-ramp tests in the temperature range of 30 to 150°C. TDDB was performed in the field range 0.5 – 2 MV/cm. From the leakage between combs at the same level (either metal 1 or metal 2) Cu drift through SiC/BD or SiN/BD interface was characterized. It was found that Cu/barrier and barrier/low k interfaces functioned as easy paths for copper drift thereby shorting the lines. Cu/SiC was found to provide a better interface than Cu/SiN.


2008 ◽  
Vol 23 (6) ◽  
pp. 1802-1808 ◽  
Author(s):  
T.L. Tan ◽  
C.L. Gan ◽  
A.Y. Du ◽  
Y.C. Tan ◽  
C.M. Ng

Delamination at an interface with the weakest adhesion strength, which is found to be between the SiC(N) capping layer and the SiOCH low-k dielectric, is a potential failure mechanism contributing to time-dependent dielectric breakdown (TDDB) reliability. Bond breaking at that interface is believed to be driven by a field-enhanced thermal process and catalyzed by leakage current through the capping layer based on physical analyses and TDDB measurements. Delamination is found to be easier in terminated tips and corners than in parallel comb lines due to the layout orientation of the Cu lines. Moreover, TDDB activation energy Ea can be an indicator of the ease of delamination, whereby a lower Ea corresponds to an easier delamination.


2009 ◽  
Vol 1157 ◽  
Author(s):  
Yohei Yamada ◽  
Nobuhiro Konishi

AbstractThe effects of defects caused by Cu chemical-mechanical polishing (CMP) on time-dependent dielectric breakdown (TDDB) in a damascene structure incorporating a low-k interlevel dielectric layer were investigated experimentally. Comb line capacitor structures were prepared with one of three types of defects (rough Cu surface corrosion, Cu depletion, or crevice corrosion) and stressed at 3.2 to 6.2 MV/cm at 140°C. The first two defects had an insignificant effect on the TDDB characteristics while crevice corrosion at the edges of wires significantly degraded them. Investigation of the effects of Cu oxidation during post-CMP cleaning on the TDDB characteristics revealed that the formation of a non-uniform oxide layer accompanying deionized water rinsing was due to the dissolution of Cu oxide during the post-CMP cleaning process. When a barrier metal slurry containing a soluble inhibitor was used, non-uniform oxide formation on the Cu surfaces during post-CMP cleaning degraded the TDDB characteristics. These results demonstrate the importance of uniform Cu oxidation during post-CMP cleaning for improving the TDDB characteristics.


Sign in / Sign up

Export Citation Format

Share Document