scholarly journals A Heterogeneous RISC-V Processor for Efficient DNN Application in Smart Sensing System

Sensors ◽  
2021 ◽  
Vol 21 (19) ◽  
pp. 6491
Author(s):  
Haifeng Zhang ◽  
Xiaoti Wu ◽  
Yuyu Du ◽  
Hongqing Guo ◽  
Chuxi Li ◽  
...  

Extracting features from sensing data on edge devices is a challenging application for which deep neural networks (DNN) have shown promising results. Unfortunately, the general micro-controller-class processors which are widely used in sensing system fail to achieve real-time inference. Accelerating the compute-intensive DNN inference is, therefore, of utmost importance. As the physical limitation of sensing devices, the design of processor needs to meet the balanced performance metrics, including low power consumption, low latency, and flexible configuration. In this paper, we proposed a lightweight pipeline integrated deep learning architecture, which is compatible with open-source RISC-V instructions. The dataflow of DNN is organized by the very long instruction word (VLIW) pipeline. It combines with the proposed special intelligent enhanced instructions and the single instruction multiple data (SIMD) parallel processing unit. Experimental results show that total power consumption is about 411 mw and the power efficiency is about 320.7 GOPS/W.

2019 ◽  
Vol 25 (6) ◽  
pp. 35-39
Author(s):  
Libor Chrastecky ◽  
Jaromir Konecny ◽  
Martin Stankus ◽  
Michal Prauzek

This article describes implementation possibilities of specialized microcontroller peripherals, as hardware solution for Internet of Things (IoT) low-power communication, interfaces. In this contribution, authors use the NXP FlexIO periphery. Meanwhile, RFC1662 is used as a reference communication standard. Implementation of RFC1662 is performed by software and hardware approaches. The total power consumption is measured during experiments. In the result section, authors evaluate a time-consumption trade-off between the software approach running in Central Processing Unit (CPU) and hardware implementation using NXP FlexIO periphery. The results confirm that the hardware-based approach is effective in terms of power consumption. This method is applicable in IoT embedded devices.


2021 ◽  
Vol 2021 ◽  
pp. 1-10
Author(s):  
Anurag Shrivastava ◽  
Ali Rizwan ◽  
Neelam Sanjeev Kumar ◽  
R. Saravanakumar ◽  
Inderjit Singh Dhanoa ◽  
...  

The issue of the energy shortage is affecting the entire planet. This is occurring because of massive population and industry growth around the world. As a result, the entire world is attempting to implement green networking systems and manufacture the power/energy efficient products. This research work discusses the green networking system technologies. This work introduces a power-efficient control unit (CU) design and implemented on the Zynq SoC (System on Chip) ultrascale field programmable gate array (FPGA). The VIVADO HLx Design Suite is used to simulate and analyze the CU model which is considered as one of the key components of central processing unit (CPU), used for data communication purposes. The CU is made suitable for the green communication by making it power-efficient. Therefore, the power consumption of the CU is analyzed for the various set frequency value ranging between 100 MHz and 5 GHz, and it is discovered that as the clock frequency rises up, the total power consumption also tends to get increased. The total power of the proposed model is reduced by 77.42%, 21.29%, and 17.93% from three models, respectively, being compared in the present paper. Final results shows that the CU is better suited to run at low frequencies to optimize power consumption.


Energies ◽  
2021 ◽  
Vol 14 (11) ◽  
pp. 3129
Author(s):  
Jewon Oh ◽  
Daisuke Sumiyoshi ◽  
Masatoshi Nishioka ◽  
Hyunbae Kim

The mass introduction of renewable energy is essential to reduce carbon dioxide emissions. We examined an operation method that combines the surplus energy of photovoltaic power generation using demand response (DR), which recognizes the balance between power supply and demand, with an aquifer heat storage system. In the case that predicts the occurrence of DR and performs DR storage and heat dissipation operation, the result was an operation that can suppress daytime power consumption without increasing total power consumption. Case 1-2, which performs nighttime heat storage operation for about 6 h, has become an operation that suppresses daytime power consumption by more than 60%. Furthermore, the increase in total power consumption was suppressed by combining DR heat storage operation. The long night heat storage operation did not use up the heat storage amount. Therefore, it is recommended to the heat storage operation at night as much as possible before DR occurs. In the target area of this study, the underground temperature was 19.1 °C, the room temperature during cooling was about 25 °C and groundwater could be used as the heat source. The aquifer thermal energy storage (ATES) system in this study uses three wells, and consists of a well that pumps groundwater, a heat storage well that stores heat and a well that used heat and then returns it. Care must be taken using such an operation method depending on the layer configuration.


2016 ◽  
Vol 2016 ◽  
pp. 1-7
Author(s):  
Zigang Dong ◽  
Xiaolin Zhou ◽  
Yuanting Zhang

We proposed a new method for designing the CMOS differential log-companding amplifier which achieves significant improvements in linearity, common-mode rejection ratio (CMRR), and output range. With the new nonlinear function used in the log-companding technology, this proposed amplifier has a very small total harmonic distortion (THD) and simultaneously a wide output current range. Furthermore, a differential structure with conventionally symmetrical configuration has been adopted in this novel method in order to obtain a high CMRR. Because all transistors in this amplifier operate in the weak inversion, the supply voltage and the total power consumption are significantly reduced. The novel log-companding amplifier was designed using a 0.18 μm CMOS technology. Improvements in THD, output current range, noise, and CMRR are verified using simulation data. The proposed amplifier operates from a 0.8 V supply voltage, shows a 6.3 μA maximum output current range, and has a 6 μW power consumption. The THD is less than 0.03%, the CMRR of this circuit is 74 dB, and the input referred current noise density is166.1 fA/Hz. This new method is suitable for biomedical applications such as electrocardiogram (ECG) signal acquisition.


2016 ◽  
Author(s):  
S. Tesch ◽  
T. Morosuk ◽  
G. Tsatsaronis

The increasing demand for primary energy leads to a growing market of natural gas and the associated market for liquefied natural gas (LNG) increases, too. The liquefaction of natural gas is an energy- and cost-intensive process. After exploration, natural gas, is pretreated and cooled to the liquefaction temperature of around −160°C. In this paper, a novel concept for the integration of the liquefaction of natural gas into an air separation process is introduced. The system is evaluated from the energetic and exergetic points of view. Additionally, an advanced exergy analysis is conducted. The analysis of the concepts shows the effect of important parameters regarding the maximum amount of liquefiable of natural gas and the total power consumption. Comparing the different cases, the amount of LNG production could be increased by two thirds, while the power consumption is doubled. The results of the exergy analysis show, that the introduction of the liquefaction of natural gas has a positive effect on the exergetic efficiency of a convetional air separation unit, which increases from 38% to 49%.


2018 ◽  
Vol 26 (4) ◽  
pp. 172-184
Author(s):  
Muthna Jasim Fadhil

In modern systems communication, different methods have been improved to change the prior imitative techniques that process communication data with high speed. It is necessary to improve (OFDM) Orthogonal Frequency Division Multiplexing technique because the development in the guideline communication of wireless system which include security data and transmission data reliability. The applications communications of wireless is important to develop in order to optimize the process of communication leads to reduce the level consumption energy of the output level signal. The architecture of VLSI is used to optimize the performance transceiver in 802.11 n OFDM-MIMO systems, this idea concentrate on the design of 6x6 MIMO_OFDM system in software simulink of MATLAB then using generator system for transfer to code of VHDL and applying in FPGA Xilinx Spartan 3 XC3S200 . The modelsim used to get the simulation while Xilinx power estimator is used to calculate power. The results registered total power consumption about 94mW while compared with previous work  was 136mW which means a high reduction of about 30.8% .


2011 ◽  
Vol 347-353 ◽  
pp. 2796-2800
Author(s):  
Ying Ling Shi ◽  
Mei Peng

The paper describes the development of economy and electricity in Shanghai, builds a decomposition model of power consumption intensity, and analyzes the impacts of industrial power consumption intensity and industrial structure for the total power consumption intensity of Shanghai. Finally, the paper uses sub-scenarios to forecast electricity demand of Shanghai during Twelfth Five-Year period. The results show that the decrease of total power consumption intensity is mainly due to the decrease of industrial power consumption intensity, and the optimization of industrial structure has some contributions to the decrease of total power consumption as well.


2014 ◽  
Vol 136 (6) ◽  
Author(s):  
Mathias Beer ◽  
Yves-Simon Gloy ◽  
Mohit Raina ◽  
Thomas Gries

The crochet knitting machine is a warp knitting machine with a weft insertion system placed on a weft guide bar. On standard machines, the weft guide bar is made from aluminum and weighs about 570 g. The single-drive motors, which power the bar, account for 15–20% of the machines total power consumption. The aim of this research was to reduce power consumption by decreasing the mass of the weft guide bar. This was done by constructing the bar from carbon fiber reinforced plastics rather than aluminum, resulting in a mass saving of 260 g.


Sign in / Sign up

Export Citation Format

Share Document