Estimation and spatio-temporal analysis of soil organic carbon stock in China using machine learning algorithms from 2000 to 2014 

2021 ◽  
Author(s):  
Yuehong Shi ◽  
Xiaolu Tang ◽  
Xinrui Luo ◽  
Zhihan Yang ◽  
Yunsen Lai ◽  
...  

<p>Soil is the largest carbon pool in terrestrial ecosystems, storing up to 2 or 3 times the amount of carbon present in the atmosphere, and a small change in soil carbon stock could have profound effects on atmospheric CO<sub>2</sub> and climate change. However, an accurate estimate of soil organic carbon (SOC) stock is still challenging. Previous studies on SOC stock prediction across China were mainly from biogeochemical models and national soil inventories, and large uncertainties still remained. In this study, we predicted SOC stock at 0 – 20 cm and 0 – 100 cm with 3419 and 2479field observations using artificial neural network (ANN), extreme gradient boosting (XGBoost), random forest (RF), and gradient boosting regression trees (GBRT) across China with the linkage of climate, vegetation and soil variables. Results showed that RF performed best among the four machine learning approaches with model efficiency of 0.61 for 0 – 20 cm and 0.52 for 0 – 100 cm. The trained RF model was used to predicted the temporal and spatial patterns of SOC stock at a spatial resolution of 1 km from 2000 to 2014 across China. Temporally, SOC stock at 0 – 20 cm (p = 0.07) and 0 – 100 cm (p = 0.3) did not change significantly. However, SOC density showed strong spatial patterns, the mean value of SOC density at 0-20 cm and 0-100 cm increased firstly, then decreased and then increased with the increase of latitude, and the minimum density was 39.83° and 41.59°, respectively. The total SOC stocks across China were 33.68 and 95.01 Pg C for 0 – 20 cm and 0 – 100 cm, respectively. The developed SOC stock could serve as an independent dataset that could be used for decision-making and help with baseline assessments for inventory and monitoring SOC stocks for global biogeochemical models in China.</p>

2020 ◽  
Author(s):  
Gerard Heuvelink ◽  
Marcos Angelini ◽  
Laura Poggio ◽  
Zhanguo Bai ◽  
Niels Batjes ◽  
...  

<p>Spatially resolved estimates of change in soil organic carbon (SOC) stocks are necessary for supporting national and international policies aimed at achieving land degradation neutrality and climate mitigation through better land management. In this work we report on the development, implementation and application of a data-driven, statistical space-time method for mapping SOC stocks, using Argentina as a pilot area. We used the Quantile Regression Forest machine-learning algorithm to predict SOC stock at 0-30 cm depth at 250 m resolution for Argentina between 1982 and 2017, on an annual basis. The model was calibrated using over 5,000 SOC stock values from the 36-year time period and 35 environmental covariates. Most covariates were static and could only explain the spatial SOC distribution. SOC change over time was modelled using time series maps of the AVHRR NDVI vegetation index. These NDVI time series maps were pre-processed using a temporal low-pass filter to allow the SOC stock for a given year to depend on the NDVI of the current as well as preceding years. Spatial patterns of SOC stock predictions were persistent over time and comparable to baseline SOC stock maps of Argentina. Predictions had modest temporal variation with an average decrease for the entire country from 2.55 kg C m<sup>‑2</sup> to 2.48 kg C m<sup>‑2</sup> over the 36-year period (equivalent to a decline of 210.7 Gg C, 3.0% of the total 0‑30 cm SOC stock in Argentina). The Pampa region had a larger estimated SOC stock decrease from 4.62 kg C m<sup>‑2</sup> to 4.34 kg C m<sup>‑2</sup> (5.9%) during the same period. For the 2001-2015 period, predicted temporal variation was 7-fold larger than that obtained using the Tier 1 approach of the Intergovernmental Panel on Climate Change and the United Nations Convention to Combat Desertification. Prediction uncertainties turned out to be substantial, mainly due to the limited number and poor spatial and temporal distribution of the calibration data, and the limited explanatory power of the covariates. Cross-validation confirmed that SOC stock prediction accuracy was limited, with a Mean Error of 0.03 kg C m<sup>-2</sup> and a Root Mean Squared Error of 2.04 kg C m<sup>-2</sup>. The model explained 45% of the SOC stock variation. In spite of the large uncertainties, this work showed that machine learning methods can be used for space-time SOC mapping and may yield valuable information to land managers and policy makers, provided that SOC observation density in space and time is sufficiently large.</p>


2014 ◽  
Vol 7 (3) ◽  
pp. 1197-1210 ◽  
Author(s):  
M. Nussbaum ◽  
A. Papritz ◽  
A. Baltensweiler ◽  
L. Walthert

Abstract. Accurate estimates of soil organic carbon (SOC) stocks are required to quantify carbon sources and sinks caused by land use change at national scale. This study presents a novel robust kriging method to precisely estimate regional and national mean SOC stocks, along with truthful standard errors. We used this new approach to estimate mean forest SOC stock for Switzerland and for its five main ecoregions. Using data of 1033 forest soil profiles, we modelled stocks of two compartments (0–30, 0–100 cm depth) of mineral soils. Log-normal regression models that accounted for correlation between SOC stocks and environmental covariates and residual (spatial) auto-correlation were fitted by a newly developed robust restricted maximum likelihood method, which is insensitive to outliers in the data. Precipitation, near-infrared reflectance, topographic and aggregated information of a soil and a geotechnical map were retained in the models. Both models showed weak but significant residual autocorrelation. The predictive power of the fitted models, evaluated by comparing predictions with independent data of 175 soil profiles, was moderate (robust R2 = 0.34 for SOC stock in 0–30 cm and R2 = 0.40 in 0–100 cm). Prediction standard errors (SE), validated by comparing point prediction intervals with data, proved to be conservative. Using the fitted models, we mapped forest SOC stock by robust external-drift point kriging at high resolution across Switzerland. Predicted mean stocks in 0–30 and 0–100 cm depth were equal to 7.99 kg m−2 (SE 0.15 kg m−2) and 12.58 kg m−2 (SE 0.24 kg m−2), respectively. Hence, topsoils store about 64% of SOC stocks down to 100 cm depth. Previous studies underestimated SOC stocks of topsoil slightly and those of subsoils strongly. The comparison further revealed that our estimates have substantially smaller SE than previous estimates.


Forests ◽  
2021 ◽  
Vol 12 (11) ◽  
pp. 1562
Author(s):  
Iveta Varnagirytė-Kabašinskienė ◽  
Povilas Žemaitis ◽  
Kęstutis Armolaitis ◽  
Vidas Stakėnas ◽  
Gintautas Urbaitis

In the context of the specificity of soil organic carbon (SOC) storage in afforested land, nutrient-poor Arenosols and nutrient-rich Luvisols after afforestation with coniferous and deciduous tree species were studied in comparison to the same soils of croplands and grasslands. This study analysed the changes in SOC stock up to 30 years after afforestation of agricultural land in Lithuania, representing the cool temperate moist climate region of Europe. The SOC stocks were evaluated by applying the paired-site design. The mean mass and SOC stocks of the forest floor in afforested Arenosols increased more than in Luvisols. Almost twice as much forest floor mass was observed in coniferous than in deciduous stands 2–3 decades after afforestation. The mean bulk density of fine (<2 mm) soil in the 0–30 cm mineral topsoil layer of croplands was higher than in afforested sites and grasslands. The clear decreasing trend in mean bulk density due to forest stand age with the lowest values in the 21–30-year-old stands was found in afforested Luvisols. In contrast, the SOC concentrations in the 0–30 cm mineral topsoil layer, especially in Luvisols afforested with coniferous species, showed an increasing trend due to the influence of stand age. The mean SOC values in the 0–30 cm mineral topsoil layer of Arenosols and Luvisols during the 30 years after afforestation did not significantly differ from the adjacent croplands or grasslands. The mean SOC stock slightly increased with the forest stand age in Luvisols; however, the highest mean SOC stock was detected in the grasslands. In the Arenosols, there was higher SOC accumulation in the forest floor with increasing stand age than in the Luvisols, while the proportion of SOC stocks in mineral topsoil layers was similar and more comparable to grasslands. These findings suggest encouragement of afforestation of former agricultural land under the current climate and soil characteristics in the region, but the conversion of perennial grasslands to forest land should be done with caution.


2015 ◽  
Vol 2 (2) ◽  
pp. 871-902 ◽  
Author(s):  
H. C. Hombegowda ◽  
O. van Straaten ◽  
M. Köhler ◽  
D. Hölscher

Abstract. Tropical agroforestry has an enormous potential to sequester carbon while simultaneously producing agricultural yields and tree products. The amount of soil organic carbon (SOC) sequestered is however influenced by the type of the agroforestry system established, the soil and climatic conditions and management. In this regional scale study, we utilized a chronosequence approach to investigate how SOC stocks changed when the original forests are converted to agriculture, and then subsequently to four different agroforestry systems (AFSs): homegarden, coffee, coconut and mango. In total we established 224 plots in 56 plot clusters across four climate zones in southern India. Each plot cluster consisted of four plots: a natural forest reference plot, an agriculture reference and two of the same AFS types of two ages (30–60 years and > 60 years). The conversion of forest to agriculture resulted in a large loss the original SOC stock (50–61 %) in the top meter of soil depending on the climate zone. The establishment of homegarden and coffee AFSs on agriculture land caused SOC stocks to rebound to near forest levels, while in mango and coconut AFSs the SOC stock increased only slightly above the agriculture stock. The most important variable regulating SOC stocks and its changes was tree basal area, possibly indicative of organic matter inputs. Furthermore, climatic variables such as temperature and precipitation, and soil variables such as clay fraction and soil pH were likewise all important regulators of SOC and SOC stock changes. Lastly, we found a strong correlation between tree species diversity in homegarden and coffee AFSs and SOC stocks, highlighting possibilities to increase carbon stocks by proper tree species assemblies.


2016 ◽  
Author(s):  
Christopher Poeplau ◽  
Cora Vos ◽  
Axel Don

Abstract. Estimation of soil organic carbon (SOC) stocks requires estimates of the carbon content, bulk density, stone content and depth of a respective soil layer. However, different application of these parameters could introduce a considerable bias. Here, we explain why three out of four frequently applied methods overestimate SOC stocks. In stone rich soils (> 30 Vol. %), SOC stocks could be overestimated by more than 100 %, as revealed by using German Agricultural Soil Inventory data. Due to relatively low stone content, the mean systematic overestimation for German agricultural soils was 2.1–10.1 % for three different commonly used equations. The equation ensemble as re-formulated here might help to unify SOC stock determination and avoid overestimation in future studies.


2021 ◽  
Author(s):  
Christopher Feeney ◽  
Jack Cosby ◽  
David Robinson ◽  
Amy Thomas ◽  
Bridget Emmett

&lt;p&gt;Soil organic carbon (SOC) is the largest reservoir of organic carbon in the terrestrial biosphere and is the main constituent of soil organic matter, which underpins key soil functions such as storage and filtration of water, and nutrient cycling. SOC concentrations are controlled by several dynamic variables, ranging from micro-scale properties like particle aggregation, to larger-scale drivers such as climate and land cover. Hence, soils are vulnerable to climate change and human disturbances, with implications for ecosystem services such as agriculture and global warming mitigation. Recent decades have seen greater efforts to monitor SOC dynamics, such as the UKCEH Countryside Survey, and to predict concentrations of SOC where we have no measurements, using geostatistics or machine learning approaches. Yet, there is still much to be understood about what controls spatial patterns of SOC, and how effectively different modelling approaches can capture this. Here, we compare predictions by nine maps of the spatial distribution of topsoil SOC in Great Britain. We found broad similarities in SOC concentrations predicted by all maps, which each showed right-skewed distributions with similar median values (43 to 97 g kg&lt;sup&gt;-1&lt;/sup&gt;). The greatest differences between maps occur at higher latitudes and are reflected in the upper ends of the SOC distributions. While the maps generally exhibit a sharp rise in SOC concentrations with increasing latitude from ~54&lt;sup&gt;o&lt;/sup&gt;N, values predicted by the ISRIC-2017 and FAO-GSOC maps show weaker increases with increasing latitude, and peak at lower values of 332 g kg&lt;sup&gt;-1&lt;/sup&gt; and 354 g kg&lt;sup&gt;-1&lt;/sup&gt;, respectively. We demonstrate that most of the maps, regardless of the modelling approach taken or the underlying data used, produced similar estimates of SOC concentration, including broad spatial patterns. This work will form the basis of more detailed future assessments of the sensitivity of SOC mapping to analytical methods versus the data used to drive these methods, and will be used to assess the importance of using stratified random field survey approaches for generating more accurate predictions of areas that cannot be sampled. Exploration of why and where different and coincident SOC predictions occur between maps should shed light on the utility of different modelling techniques and machine-learning meta-analyses of driving variables currently used to map SOC. Understanding how SOC predictions differ across all current national scale GB maps is a first step in improving modelling and assessment of SOC stock and change.&lt;/p&gt;


2010 ◽  
Vol 5 (No. 1) ◽  
pp. 1-9 ◽  
Author(s):  
G. Barančíková ◽  
J. Halás ◽  
M. Gutteková ◽  
J. Makovníková ◽  
M. Nováková ◽  
...  

Soil organic matter (SOM) takes part in many environmental functions and, depending on the conditions, it can be a source or a sink of the greenhouse gases. Presently, the changes in soil organic carbon (SOC) stock can arise because of the climatic changes or changes in the land use and land management. A promising method in the estimation of SOC changes is modelling, one of the most used models for the prediction of changes in soil organic carbon stock on agricultural land being the RothC model. Because of its simplicity and availability of the input data, RothC was used for testing the efficiency to predict the development of SOC stock during 35-year period on agricultural land of Slovakia. The received data show an increase of SOC stock during the first (20 years) phase and no significant changes in the course of the second part of modelling. The increase of SOC stock in the first phase can be explained by a high carbon input of plant residues and manure and a lower temperature in comparison with the second modelling part.


Soil Research ◽  
2014 ◽  
Vol 52 (5) ◽  
pp. 463 ◽  
Author(s):  
Zhongkui Luo ◽  
Enli Wang ◽  
Jeff Baldock ◽  
Hongtao Xing

The diversity of cropping systems and its variation could lead to great uncertainty in the estimation of soil organic carbon (SOC) stock across time and space. Using the pre-validated Agricultural Production Systems Simulator, we simulated the long-term (1022 years) SOC dynamics in the top 0.3 m of soil at 613 reference sites under 59 representative cropping systems across Australia’s cereal-growing regions. The point simulation results were upscaled to the entire cereal-growing region using a Monte Carlo approach to quantify the spatial pattern of SOC stock and its uncertainty caused by cropping system and environment. The predicted potential SOC stocks at equilibrium state ranged from 10 to 140 t ha–1, with the majority in a range 30–70 t ha–1, averaged across all the representative cropping systems. Cropping system accounted for ~10% of the total variance in predicted SOC stocks. The type of cropping system that determined the carbon input into soil had significant effects on SOC sequestration potential. On average, the potential SOC stock in the top 0.3 m of soil was 30, 50 and 60 t ha–1 under low-, medium- and high-input cropping systems in terms of carbon input, corresponding to –2, 18 and 26 t ha–1 of SOC change. Across the entire region, the Monte Carlo simulations showed that the potential SOC stock was 51 t ha–1, with a 95% confidence interval ranging from 38 to 64 t ha–1 under the identified representative cropping systems. Overall, predicted SOC stock could increase by 0.99 Pg in Australian cropland under the identified representative cropping systems with optimal management. Uncertainty varied depending on cropping system, climate and soil conditions. Detailed information on cropping system and soil and climate characteristics is needed to obtain reliable estimates of potential SOC stock at regional scale, particularly in cooler and/or wetter regions.


2021 ◽  
Author(s):  
Katerina Georgiou ◽  
Avni Malhotra ◽  
William R. Wieder ◽  
Jacqueline H. Ennis ◽  
Melannie D. Hartman ◽  
...  

AbstractThe storage and cycling of soil organic carbon (SOC) are governed by multiple co-varying factors, including climate, plant productivity, edaphic properties, and disturbance history. Yet, it remains unclear which of these factors are the dominant predictors of observed SOC stocks, globally and within biomes, and how the role of these predictors varies between observations and process-based models. Here we use global observations and an ensemble of soil biogeochemical models to quantify the emergent importance of key state factors – namely, mean annual temperature, net primary productivity, and soil mineralogy – in explaining biome- to global-scale variation in SOC stocks. We use a machine-learning approach to disentangle the role of covariates and elucidate individual relationships with SOC, without imposing expected relationships a priori. While we observe qualitatively similar relationships between SOC and covariates in observations and models, the magnitude and degree of non-linearity vary substantially among the models and observations. Models appear to overemphasize the importance of temperature and primary productivity (especially in forests and herbaceous biomes, respectively), while observations suggest a greater relative importance of soil minerals. This mismatch is also evident globally. However, we observe agreement between observations and model outputs in select individual biomes – namely, temperate deciduous forests and grasslands, which both show stronger relationships of SOC stocks with temperature and productivity, respectively. This approach highlights biomes with the largest uncertainty and mismatch with observations for targeted model improvements. Understanding the role of dominant SOC controls, and the discrepancies between models and observations, globally and across biomes, is essential for improving and validating process representations in soil and ecosystem models for projections under novel future conditions.


Sign in / Sign up

Export Citation Format

Share Document