Epitaxial growth of low temperature GaN using metal migration enhanced epitaxy for high-quality InGaN/GaN heterojunctions

2018 ◽  
Vol 120 ◽  
pp. 781-787 ◽  
Author(s):  
Hyeonseok Woo ◽  
Jongmin Kim ◽  
Sangeun Cho ◽  
Yongcheol Jo ◽  
Cheong Hyun Roh ◽  
...  
Nano Energy ◽  
2019 ◽  
Vol 66 ◽  
pp. 104089 ◽  
Author(s):  
Hong-Ping Ma ◽  
Jia-He Yang ◽  
Jia-Jia Tao ◽  
Kai-Ping Yuan ◽  
Pei-Hong Cheng ◽  
...  

1991 ◽  
Vol 59 (7) ◽  
pp. 820-822 ◽  
Author(s):  
K. F. Longenbach ◽  
S. Xin ◽  
C. Schwartz ◽  
Y. Jiang ◽  
W. I. Wang

2009 ◽  
Vol 24 (1) ◽  
pp. 135-139 ◽  
Author(s):  
Q.T. Zhao ◽  
S.B. Mi ◽  
C.L. Jia ◽  
C. Urban ◽  
C. Sandow ◽  
...  

Epitaxial growth of a NiSi2 layer was observed on S+ ion-implanted Si(100) at a low temperature of 550 °C. Depending on the S+ dose and the Ni thickness, we identified different nickel silicide phases. High quality and uniform epitaxial NiSi2 layers formed at temperatures above 700 °C with a 20-nm Ni on high dose S+ implanted Si(100), whereas no epitaxy was observed for a 36-nm Ni layer. We assume that the presence of sulfur at the silicide/Si(100) interface favors the nucleation of the NiSi2 phase. The S atom distributions showed ultrasteep S depth profiles (3 nm/decade) in the silicon, which results from the snow-plow effect during silicidation and the segregation of S to the interface due to the low solubility of S in both Si and the silicide.


Materials ◽  
2021 ◽  
Vol 14 (13) ◽  
pp. 3733
Author(s):  
Jongwan Jung ◽  
Baegmo Son ◽  
Byungmin Kam ◽  
Yong Sang Joh ◽  
Woonyoung Jeong ◽  
...  

The key process steps for growing high-quality Si-based epitaxial films via reduced pressure chemical vapor deposition (RPCVD) are investigated herein. The quality of the epitaxial films is largely affected by the following steps in the epitaxy process: ex-situ cleaning, in-situ bake, and loading conditions such as the temperature and gaseous environment. With respect to ex-situ cleaning, dry cleaning is found to be more effective than wet cleaning in 1:200 dilute hydrofluoric acid (DHF), while wet cleaning in 1:30 DHF is the least effective. However, the best results of all are obtained via a combination of wet and dry cleaning. With respect to in-situ hydrogen bake in the presence of H2 gas, the level of impurities is gradually decreased as the temperature increases from 700 °C to a maximum of 850 °C, at which no peaks of O and F are observed. Further, the addition of a hydrogen chloride (HCl) bake step after the H2 bake results in effective in-situ bake even at temperatures as low as 700 °C. In addition, the effects of temperature and environment (vacuum or gas) at the time of loading the wafers into the process chamber are compared. Better quality epitaxial films are obtained when the samples are loaded into the process chamber at low temperature in a gaseous environment. These results indicate that the epitaxial conditions must be carefully tuned and controlled in order to achieve high-quality epitaxial growth.


1989 ◽  
Vol 161 ◽  
Author(s):  
Srinivasan Krishnamurthy ◽  
M.A. Berding ◽  
A. Sher ◽  
A.-B. Chen

ABSTRACTA single-and multilayer growth model is presented. Surface order-disorder transitions are studied with the entropy calculated in the Bragg-William approximation and in the quasi-chemical approximation. A plausible explanation for high-quality growth obtained with energy-assistance is given. The model has been extended to study low-temperature epitaxial growth of HgTe and CdTe on different surfaces. The relevant surface energies are evaluated in a Green's function approach.


2016 ◽  
Vol 858 ◽  
pp. 159-162 ◽  
Author(s):  
Ruggero Anzalone ◽  
Nicolò Piluso ◽  
Riccardo Reitano ◽  
Alessandra Alberti ◽  
Patrick Fiorenza ◽  
...  

A study of the carbonization process and of a low temperature buffer layer on the Cubic Silicon Carbide (3C-SiC) epitaxial growth has been reported in this work. From this study it has been evidenced the importance of the C/H2 ratio and of the buffer layer process on the voids formation at the 3C-SiC/Si interface. From our study, the influence of the voids the wafer curvature is highlighted. It has been observed that decreasing the density of these voids, decreases the stress of the 3C-SiC film; consequently, the wafer curvature is reduced.


Alloy Digest ◽  
1982 ◽  
Vol 31 (1) ◽  

Abstract AISI Type P20 is a chromium-molybdenum tool steel of medium carbon content. It usually is supplied in the prehardened condition (about 300 Brinell) so that the cavity can be machined and the mold or die placed directly in service; however, for some uses further treatments are employed. It is produced to high-quality tool-steel standards to permit a high luster to be achieved on the surface of the polished die cavity. P20 is used for molds for plastics and for die-casting dies for zinc and other low-temperature casting alloys. This datasheet provides information on composition, physical properties, hardness, elasticity, and tensile properties. It also includes information on forming, heat treating, and machining. Filing Code: TS-393. Producer or source: Tool steel mills.


Nanomaterials ◽  
2021 ◽  
Vol 11 (3) ◽  
pp. 788
Author(s):  
Jian-Huan Wang ◽  
Ting Wang ◽  
Jian-Jun Zhang

Controllable growth of wafer-scale in-plane nanowires (NWs) is a prerequisite for achieving addressable and scalable NW-based quantum devices. Here, by introducing molecular beam epitaxy on patterned Si structures, we demonstrate the wafer-scale epitaxial growth of site-controlled in-plane Si, SiGe, and Ge/Si core/shell NW arrays on Si (001) substrate. The epitaxially grown Si, SiGe, and Ge/Si core/shell NW are highly homogeneous with well-defined facets. Suspended Si NWs with four {111} facets and a side width of about 25 nm are observed. Characterizations including high resolution transmission electron microscopy (HRTEM) confirm the high quality of these epitaxial NWs.


Sign in / Sign up

Export Citation Format

Share Document