scholarly journals Process Steps for High Quality Si-Based Epitaxial Growth at Low Temperature via RPCVD

Materials ◽  
2021 ◽  
Vol 14 (13) ◽  
pp. 3733
Author(s):  
Jongwan Jung ◽  
Baegmo Son ◽  
Byungmin Kam ◽  
Yong Sang Joh ◽  
Woonyoung Jeong ◽  
...  

The key process steps for growing high-quality Si-based epitaxial films via reduced pressure chemical vapor deposition (RPCVD) are investigated herein. The quality of the epitaxial films is largely affected by the following steps in the epitaxy process: ex-situ cleaning, in-situ bake, and loading conditions such as the temperature and gaseous environment. With respect to ex-situ cleaning, dry cleaning is found to be more effective than wet cleaning in 1:200 dilute hydrofluoric acid (DHF), while wet cleaning in 1:30 DHF is the least effective. However, the best results of all are obtained via a combination of wet and dry cleaning. With respect to in-situ hydrogen bake in the presence of H2 gas, the level of impurities is gradually decreased as the temperature increases from 700 °C to a maximum of 850 °C, at which no peaks of O and F are observed. Further, the addition of a hydrogen chloride (HCl) bake step after the H2 bake results in effective in-situ bake even at temperatures as low as 700 °C. In addition, the effects of temperature and environment (vacuum or gas) at the time of loading the wafers into the process chamber are compared. Better quality epitaxial films are obtained when the samples are loaded into the process chamber at low temperature in a gaseous environment. These results indicate that the epitaxial conditions must be carefully tuned and controlled in order to achieve high-quality epitaxial growth.

1998 ◽  
Vol 533 ◽  
Author(s):  
A. Morrya ◽  
M. Sakuraba ◽  
T. Matsuura ◽  
J. Murota ◽  
I. Kawashima ◽  
...  

AbstractIn-situ heavy doping of B into Si1-xGex epitaxial films on the Si(100) substrate have been investigated at 550°C in a SiH4(6.0Pa)-GeH4(0.1−6.0Pa)-B2H6(1.25 ×10−5−3.75 × 10−2Pa)-H2(17–24Pa) gas mixture by using an ultraclean hot-wall low-pressure CVD system. The deposition rate increased with increasing GeH4 partial pressure, and it decreased with increasing B2H6 partial pressure only at the higher GeH4 partial pressure. As the B2H6 partial pressure increased, the Ge fraction scarcely changed although the lattice constant of the film decreased. These characteristics can be explained by the suppression of both the SiH4 and GeH4 adsorption/reactions in a similar degree due to B2H6 adsorption on the Si-Ge and/or Ge-Ge bond sites. The B concentration in the film increased proportionally up to 1022cm3 with increasing B2H6 partial pressure.


1991 ◽  
Vol 235 ◽  
Author(s):  
Yung-Jen Lin ◽  
Ming-Deng Shieh ◽  
Chiapying Lee ◽  
Tri-Rung Yew

ABSTRACTSilicon epitaxial growth on silicon wafers were investigated by using plasma enhanced chemical vapor deposition from SiH4/He/H2. The epitaxial layers were growm at temperatures of 350°C or lower. The base pressure of the chamber was greater than 2 × 10−5 Torr. Prior to epitaxial growth, the wafer was in-situ cleaned by H2 baking for 30 min. The epi/substrate interface and epitaxial layers were observed by cross-sectional transmission electron microscopy (XTEM). Finally, the influence of the ex-situ and in-situ cleaning processes on the qualities of the interface and epitaxial layers was discussed in detail.


1991 ◽  
Vol 236 ◽  
Author(s):  
Yung-Jen Lin ◽  
Ming-Deng Shieh ◽  
Chiapying Lee ◽  
Tri-Rung Yew

AbstractSilicon epitaxial growth on silicon wafers were investigated by using plasma enhanced chemical vapor deposition from SiH4/He/H2. The epitaxial layers were growm at temperatures of 350°C or lower. The base pressure of the chamber was greater than 2 × 10−5 Torr. Prior to epitaxial growth, the wafer was in-situ cleaned by H2 baking for 30 min. The epi/substrate interface and epitaxial layers were observed by cross-sectional transmission electron microscopy (XTEM). Finally, the influence of the ex-situ and in-situ cleaning processes on the qualities of the interface and epitaxial layers was discussed in detail.


2013 ◽  
Vol 740-742 ◽  
pp. 247-250 ◽  
Author(s):  
Hun Hee Lee ◽  
Han Seok Seo ◽  
Do Hyun Lee ◽  
Chang Hyun Kim ◽  
Hyun Woo Kim ◽  
...  

Homoepitaxial 4H-SiC thin films were grown on (0 0 0 -1) C-face substrate by cold-wall chemical vapor deposition (CVD) using bis-trimethylsilylmethane (BTMSM, C7H20Si2) precursor. Because of the polarity difference of C-face and (0 0 0 1) Si-face, epitaxial growth conditions of C-face was quite different from those of Si-face. To improve the quality of C-face epitaxial films, effects of epitaxial growth conditions on surface morphology and crystallinity of epitaxial films were investigated.


2006 ◽  
Vol 934 ◽  
Author(s):  
Malcolm Carroll ◽  
Josephine Sheng ◽  
Jason C. Verley

ABSTRACTDemand for integration of optoelectronic functionality (e.g., optical interconnects) with silicon complementary metal oxide semiconductor (CMOS) technology has for many years motivated the investigation of low temperature (∼ 450°C) germanium deposition processes that may be integrated in to the back-end CMOS process flow. A common challenge to improving the germanium quality is the thermal budget of the in-situ bake, which is used to reduce defect forming oxygen and carbon surface residues. Typical cleaning temperatures to remove significant concentrations of oxygen and carbon have been reported to be approximately 750°C for thermal hydrogen bakes in standard chemical vapor deposition chambers. Germanium device performance using lower peak in-situ cleans (i.e., ∼450°C) has been hampered by additional crystal defectivity, although epitaxy is possible with out complete removal of oxygen and carbon at lower temperatures.Plasma enhanced chemical vapor deposition (PECVD) is used to reduce the processing temperature. Hydrogen plasma assisted in-situ surface preparation of epitaxy has been shown to reduce both carbon and oxygen concentrations and enable epitaxial growth at temperatures as low as ∼150°C. The hydrogen is believed to help produce volatile Si-O and H2O species in the removal of oxygen, although typically this is not reported to occur rapidly enough to completely clear the surface of all oxygen until ∼550°C. In this paper, we describe the use of an in-situ argon/germane high density plasma to help initiate germanium epitaxy on silicon using a peak temperature of approximately 460°C. Germanium is believed to readily break Si-O bonds to form more volatile Ge-O, therefore, argon/germane plasmas offer the potential to reduce the necessary in-situ clean temperature while obtaining similar results as hydrogen in-situ cleans. To the authors knowledge this report is also the first demonstration of germanium epitaxy on silicon using this commercially available high density plasma chamber configuration instead of, for example, remote or electron cyclotron resonance configurations.


Author(s):  
Yoshichika Bando ◽  
Takahito Terashima ◽  
Kenji Iijima ◽  
Kazunuki Yamamoto ◽  
Kazuto Hirata ◽  
...  

The high quality thin films of high-Tc superconducting oxide are necessary for elucidating the superconducting mechanism and for device application. The recent trend in the preparation of high-Tc films has been toward “in-situ” growth of the superconducting phase at relatively low temperatures. The purpose of “in-situ” growth is to attain surface smoothness suitable for fabricating film devices but also to obtain high quality film. We present the investigation on the initial growth manner of YBCO by in-situ reflective high energy electron diffraction (RHEED) technique and on the structural and superconducting properties of the resulting ultrathin films below 100Å. The epitaxial films have been grown on (100) plane of MgO and SrTiO, heated below 650°C by activated reactive evaporation. The in-situ RHEED observation and the intensity measurement was carried out during deposition of YBCO on the substrate at 650°C. The deposition rate was 0.8Å/s. Fig. 1 shows the RHEED patterns at every stage of deposition of YBCO on MgO(100). All the patterns exhibit the sharp streaks, indicating that the film surface is atomically smooth and the growth manner is layer-by-layer.


2021 ◽  
Vol 13 (8) ◽  
pp. 4591
Author(s):  
Shuanglei Huang ◽  
Daishe Wu

The tremendous input of ammonium and rare earth element (REE) ions released by the enormous consumption of (NH4)2SO4 in in situ leaching for ion-adsorption RE mining caused serious ground and surface water contamination. Anaerobic ammonium oxidation (anammox) was a sustainable in situ technology that can reduce this nitrogen pollution. In this research, in situ, semi in situ, and ex situ method of inoculation that included low-concentration (0.02 mg·L−1) and high-concentration (0.10 mg·L−1) lanthanum (La)(III) were adopted to explore effective start-up strategies for starting up anammox reactors seeded with activated sludge and anammox sludge. The reactors were refrigerated for 30 days at 4 °C to investigate the effects of La(III) during a period of low-temperature. The results showed that the in situ and semi in situ enrichment strategies with the addition of La(III) at a low-concentration La(III) addition (0.02 mg·L−1) reduced the length of time required to reactivate the sludge until it reached a state of stable anammox activity and high nitrogen removal efficiency by 60–71 days. The addition of La(III) promoted the formation of sludge floc with a compact structure that enabled it to resist the adverse effects of low temperature and so to maintain a high abundance of AnAOB and microbacterial community diversity of sludge during refrigeration period. The addition of La(III) at a high concentration caused the cellular percentage of AnAOB to decrease from 54.60 ± 6.19% to 17.35 ± 6.69% during the enrichment and reduced nitrogen removal efficiency to an unrecoverable level to post-refrigeration.


Sign in / Sign up

Export Citation Format

Share Document