Effects of Wafer Emissivity on Rapid Thermal Processing Temperature Measurement

2003 ◽  
Author(s):  
D. H. Chen
1996 ◽  
Vol 433 ◽  
Author(s):  
Jianguo Zhu ◽  
Meng Chen ◽  
Wenbing Peng ◽  
Fahua Lan ◽  
E.V. Sviridov ◽  
...  

AbstractThe fabrication methods of ferroelectric (FE) thin films have received special attention in recent years because of the needs of FE thin films integrated with semiconductor devices. Rapid thermal processing (RTP) has developed in fabrication of FE thin films because it can reduce processing temperature and time duration, and it also improves the properties of FE thin films compatible with semiconductor devices. The thin film samples used were prepared by a multi-ion-beam reactive cosputtering system (MIBRECS) at room temperature. The samples were then subjected to a post-deposition annealing in a RTP system. It was found that PbTiO3 (PT) thin film could grow on amorphous or polycrystal interfacial layer and the PT thin films annealed by RTP showed the prefered [110] and [100] textures. The effect of interfacial layer on the crystallization and microstructure of the films was also discussed.


1998 ◽  
Vol 525 ◽  
Author(s):  
T. Borca-Tasciuc ◽  
D. A. Achimov ◽  
G. Chen

ABSTRACTThermocouples are often used as a calibration standard for rapid thermal processing. Although it has been recognized that the thermocouple temperature can be different from the wafer temperature, the magnitude of the temperature difference is difficult to quantify. In this work, we present a simple analytical model to demonstrate the difference between the thermocouple temperature and the true wafer temperature. The results show that a large difference can exist between the thermocouple and the wafer temperature. This is because the optical and thermophysical properties of the thermocouple and the glue material are different from those of the wafer. The model results show that temperature measurement becomes more accurate if fine diameter thermocouple wires with very low emissivity are used.


1997 ◽  
Vol 470 ◽  
Author(s):  
D. P. DeWitt ◽  
F. Y. Sorrell ◽  
J. K. Elliott

ABSTRACTReliable radiometrie temperature measurement has been a major challenge in making rapid thermal processing (RTP) more widely accepted. In order to meet road map requirements involving temperature uncertainty, uniformity and control, new techniques must be demonstrated and/or existing measurement methods must be substantially improved. Critical aspects of radiometrie methods for temperature measurement are centered about the topics: radiative and optical properties of the wafers including layered systems, surface roughness effects, and reflected irradiation from lamp banks and chamber walls. The systematic method for inferring temperature is rooted in the measurement equation which relates the radiometer output to the exitent spectral radiance from the target which reaches the detector and prescribes the roles that emissivity variability and stray radiation have on the result. An overview is provided on the knowledge base for optical and thermal radiative properties. Methods for reducing emissivity and stray radiation effects are summarized. Calibration procedures necessary to assure that the in-chamber or local temperature scale is traceable to the International Temperature Scale (ITS-90) are discussed. The issues which can impact improved temperature measurement practice are summarized.


1998 ◽  
Vol 525 ◽  
Author(s):  
F. J. Lovas ◽  
B. K. Tsai ◽  
C. E. Gibson

ABSTRACTAlthough radiometric temperature measurement in rapid thermal processing (RTP) tools has substantially improved in terms of repeatability and uniformity, it still remains a technical challenge. The 1999 requirements of 180 nm line width technology in the 1997 National Technology Roadmap for Semiconductors (NTRS) imply an uncertainty of ± 2 °C in temperature measurement, which will continue the challenge in temperature measurement. In this paper we will discuss the NIST absolute radiometric temperature calibration, measurements, and uncertainty analysis.


1998 ◽  
Vol 525 ◽  
Author(s):  
Dan Klimek ◽  
Brian Anthonyt ◽  
Agostino Abbate ◽  
Petros Kotidis

ABSTRACTResults are presented that demonstrate the use of laser ultrasonic methods to determine the temperature of silicon wafers under conditions consistent with applications in the RTP industry. The results show that it is possible to measure the temperature of Si(100) wafers to an accuracy approaching ± 1°C (1σ) even with wafer thickness variation over a range of 2 to 3 percent.


1986 ◽  
Vol 74 ◽  
Author(s):  
Menachem Natan

AbstractOne requirement of self-aligned microelectronics metallization processes is selectivity of reactions, e.g., a deposited, thin metal film must react with Si to form a silicide, yet avoid reaction with SiO2 Rapid thermal processing (RTP) techniques may enhance selectivity by utiliz-ing differences in competing reaction kinetics. In this paper, we apply the RTP/transmission electron microscopy (RTP/TEM) technique to determine processing temperature (T)/time (t) “win-dows” for selective sulicide formation in Ti-Si vs Ti-SiO2 reactions. Free-lying Si/Ti/Si and SiO2/Ti/SiO2 films deposited on electron microscope grids were RTP'd in pairs and immediately examined by TEM. The products of the interfacial reactions, their sequence of appearance, and the T/t conditions for silicide nucleation and growth in each system are described.


1992 ◽  
Vol 260 ◽  
Author(s):  
P. Vandenabeele ◽  
R. J. Schreutelkamp ◽  
K. Maex ◽  
C. Vermeiren ◽  
W. Coppye

ABSTRACTA prototype RTP system has been developed which allows for in-situ emissivity and temperature measurements. The wafer emissivity is measured by using an optical detector at a wavelength of 2.4 μm and by modulation of the lamp power. This method permits accurate temperature determination in the range from 400 to 1200°C, independent of wafer backside roughness, backside layers, and transmit tance. The feasibility of the temperature measurement technique is demonstrated by using wafers with built-in thermocouples and highly As-doped wafers with different backside roughnesses or layers. The emissivity variations during processing can also be used to study thin film reactions in-situ. This is demonstrated for Co silicidation using probing wavelengths varying from 0.6 to 3.2 μm.


Sign in / Sign up

Export Citation Format

Share Document