Evaluation of Laser Releasable Temporary Bonding Adhesives for the Thinned Wafer Integration

Author(s):  
T. Y. Ou-Yang ◽  
H. H. Chang ◽  
C. K. Hsu ◽  
H. C. Fu ◽  
Y. S. Liao
Keyword(s):  
2020 ◽  
Vol 2020 (1) ◽  
pp. 000302-000306
Author(s):  
Yuta Akasu ◽  
Emi Miyazawa ◽  
Tetsuya Enomoto ◽  
Yasuyuki Oyama ◽  
Shogo Sobue ◽  
...  

Abstract We have developed a new temporary bonding film (TBF) and new debonding system with Xe flash light irradiation, named photonic release system, for advanced package assembly process. Since new TBF has a high Tg over 200 °C after curing and shows good chemical resistance to developer, resist stripper, and plating chemicals, no delamination, voiding, and swelling were observed after thermal and chemical treatment in the bonded structure of wafer and glass carrier. In addition, by adopting a metal-sputtered glass carrier, wafer could be debonded by Xe flash light irradiation in less than 1 ms through the glass carrier with no damage. Residual TBF on the wafer surface could be peeled off smoothly at ambient temperature without residue on the wafer. In this research, we also demonstrated the good applicability of this temporary bonding film to the typical packaging process by using test vehicle including 12 inch mold wafer and the advantage of photonic release system.


Author(s):  
Yang Han ◽  
Chi Dang ◽  
Evert Visker ◽  
Jakob Visker ◽  
Aurelie Humbertv ◽  
...  

2016 ◽  
Vol 75 (9) ◽  
pp. 191-196
Author(s):  
Y. Mohammed ◽  
T. Matsumae ◽  
A. D. Koehler ◽  
T. Suga ◽  
H. Baumgart ◽  
...  
Keyword(s):  

Author(s):  
Elisabeth Brandl ◽  
Thomas Uhrmann ◽  
Mariana Pires ◽  
Stefan Jung ◽  
Jürgen Burggraf ◽  
...  

Rising demand in memory is just one example how 3D integration is still gaining momentum. Not only the form factor but also performance is improved for several 3D integration applications by reducing the wafer thickness. Two competing process flows using thin wafers are to carry out for 3D integration today. Firstly, two wafers can be bonded face-to-face with subsequent thinning without the need to handle a thin wafer. However, some chip designs require a face-to-back stacking of thin wafers, where temporary bonding becomes an inevitable process step. In this case, the challenge of the temporary bonding process is different to traditional stacking on chip level, where usually the wafers are diced after debonding and then stacked on chip level, which means die thicknesses are typically in the range of 50 μm. The goal of wafer level transfer is a massive reduction of the wafer thickness. Therefore temporary and permanent bonding has to be combined to enable stacking on wafer level with very thin wafers. The first step is temporary bonding of the device wafer with the temporary carrier through an adhesive interlayer, followed by thinning and other backside processes. Afterwards the thinned wafer is permanently bonded to the target wafer before debonding from the carrier wafer. This can be repeated several times to be suitable for example a high bandwidth memory, where several layers of DRAM are stacked on top of each other. Another application is the memory integration on processors, or die segmentation processes. The temporary bonding process flow has to be very well controlled in terms of total thickness variations (TTV) of the intermediate adhesive between device and carrier wafer. The requirements for the temporary bonding adhesive include offering sufficient adhesion between device and carrier wafer for the subsequent processes. The choice of the material class for this study is the Brewer Science dual layer material comprising of a curable layer which offers high mechanical stability to enable low TTV during the thinning process and a release layer for mechanical debond process. The release layer must lead to a successful debond but prevent spontaneous debonding during grinding and other processes. Total thickness variation values of the adhesive will be analyzed in dependence of the adhesive layer thickness as this is a key criterion for a successful implementation at the manufactures. Besides the TTV the mechanical stability during grinding will be evaluated by CSAM to make sure no delamination has happened. For feasibility of the total process flow it is important that the mechanical debonding requires less force compared to the separation of the permanent bonded wafers. Other process parameters such as edge trimming of the device wafer as well as edge removal of the mechanical debond release layer are investigated.


2014 ◽  
Vol 2014 (1) ◽  
pp. 000718-000723
Author(s):  
Jared Pettit ◽  
Alman Law ◽  
Alex Brewer ◽  
John Moore

As the 3DIC market matures, more is understood about the technical and cost challenges [1]. At the 2013 Semicon-West gathering, a panel of global experts identified these technical challenges to represent some of the most significant barriers to the industry's efforts to maintain progress with Moore's Law [2]. Searching and achieving high value manufacturing of 3DIC devices requires wrestling with several technologies and processes, all which may assert a different value for the manufacturer [3]. Current technologies for thin wafer support use a wide range of adhesives applied to the device wafer, bonded to a carrier, backside processed, and de-bonded by an array of methods. Daetec has been investigating temporary bonding for nearly 15yrs, is producing a range of products for semiconductor (e.g. WaferBondTM (Brewer-Science, Inc.)) [4], and for the display market using a low-cost tunable adhesion-force material that is peeled by simple means [5]. Daetec has developed a new technology, DaeBond 3DTM, allowing de-bonding to occur in a batch process while thinned wafers are affixed to film frames. This new approach provides a shift in conventional practice. Our paper presents several temporary bonding options with DaeBond 3DTM in an effort to define value-added approaches for thin wafer handling.


2014 ◽  
Vol 64 (5) ◽  
pp. 187-195 ◽  
Author(s):  
K. Vial ◽  
F. Fournel ◽  
M. Wimplinger ◽  
J. Burggraf ◽  
J. Bravin ◽  
...  
Keyword(s):  

Author(s):  
Hikaru Mizuno ◽  
Hiroyuki Ishii ◽  
Hitoshi Kato ◽  
Takashi Mori ◽  
Hiroki Ishikawa ◽  
...  
Keyword(s):  
Uv Laser ◽  

Sign in / Sign up

Export Citation Format

Share Document