High Heat Resistant Peelable Temporary Bonding Film and New Debonding System with Xe Flash Light Irradiation

2020 ◽  
Vol 2020 (1) ◽  
pp. 000302-000306
Author(s):  
Yuta Akasu ◽  
Emi Miyazawa ◽  
Tetsuya Enomoto ◽  
Yasuyuki Oyama ◽  
Shogo Sobue ◽  
...  

Abstract We have developed a new temporary bonding film (TBF) and new debonding system with Xe flash light irradiation, named photonic release system, for advanced package assembly process. Since new TBF has a high Tg over 200 °C after curing and shows good chemical resistance to developer, resist stripper, and plating chemicals, no delamination, voiding, and swelling were observed after thermal and chemical treatment in the bonded structure of wafer and glass carrier. In addition, by adopting a metal-sputtered glass carrier, wafer could be debonded by Xe flash light irradiation in less than 1 ms through the glass carrier with no damage. Residual TBF on the wafer surface could be peeled off smoothly at ambient temperature without residue on the wafer. In this research, we also demonstrated the good applicability of this temporary bonding film to the typical packaging process by using test vehicle including 12 inch mold wafer and the advantage of photonic release system.

Author(s):  
Elisabeth Brandl ◽  
Thomas Uhrmann ◽  
Mariana Pires ◽  
Stefan Jung ◽  
Jürgen Burggraf ◽  
...  

Rising demand in memory is just one example how 3D integration is still gaining momentum. Not only the form factor but also performance is improved for several 3D integration applications by reducing the wafer thickness. Two competing process flows using thin wafers are to carry out for 3D integration today. Firstly, two wafers can be bonded face-to-face with subsequent thinning without the need to handle a thin wafer. However, some chip designs require a face-to-back stacking of thin wafers, where temporary bonding becomes an inevitable process step. In this case, the challenge of the temporary bonding process is different to traditional stacking on chip level, where usually the wafers are diced after debonding and then stacked on chip level, which means die thicknesses are typically in the range of 50 μm. The goal of wafer level transfer is a massive reduction of the wafer thickness. Therefore temporary and permanent bonding has to be combined to enable stacking on wafer level with very thin wafers. The first step is temporary bonding of the device wafer with the temporary carrier through an adhesive interlayer, followed by thinning and other backside processes. Afterwards the thinned wafer is permanently bonded to the target wafer before debonding from the carrier wafer. This can be repeated several times to be suitable for example a high bandwidth memory, where several layers of DRAM are stacked on top of each other. Another application is the memory integration on processors, or die segmentation processes. The temporary bonding process flow has to be very well controlled in terms of total thickness variations (TTV) of the intermediate adhesive between device and carrier wafer. The requirements for the temporary bonding adhesive include offering sufficient adhesion between device and carrier wafer for the subsequent processes. The choice of the material class for this study is the Brewer Science dual layer material comprising of a curable layer which offers high mechanical stability to enable low TTV during the thinning process and a release layer for mechanical debond process. The release layer must lead to a successful debond but prevent spontaneous debonding during grinding and other processes. Total thickness variation values of the adhesive will be analyzed in dependence of the adhesive layer thickness as this is a key criterion for a successful implementation at the manufactures. Besides the TTV the mechanical stability during grinding will be evaluated by CSAM to make sure no delamination has happened. For feasibility of the total process flow it is important that the mechanical debonding requires less force compared to the separation of the permanent bonded wafers. Other process parameters such as edge trimming of the device wafer as well as edge removal of the mechanical debond release layer are investigated.


2013 ◽  
Vol 2013 (DPC) ◽  
pp. 001009-001032
Author(s):  
Mark Oliver ◽  
Jong-Uk Kim ◽  
Michael Gallagher ◽  
Zidong Wang ◽  
Janet Okada ◽  
...  

Temporary wafer bonding has emerged as the method of choice for handling silicon wafers during the thinning and high-temperature backside processing required for the manufacture of 3D device structures. Among the requirements for temporary wafer bonding materials to be used in high volume manufacturing are simple device and carrier wafer preparation, high-throughput wafer bonding, excellent thermal stability, and clean room-temperature release directly from the device wafer. We will present successful temporary wafer bonding using a new BCB (benzocyclobutene)-based material that can meet these requirements. For this temporary wafer bonding technology, wafer preparation involves spin coating the device wafer with the BCB-based adhesive to a thickness of up to 100 μm and spin coating the carrier wafer with an adhesion promoter. The wafers can then be bonded at temperatures as low as 80 °C for as short as 30 seconds. The low bonding temperature means the wafers can be loaded into a preheated wafer bonding tool, eliminating the time needed to heat and cool the bonding chucks during the bonding cycle. Also, no curing of the material is required during the bonding, enabling a short process time and high wafer throughput. Curing of the adhesive is done as a batch oven cure at 210 °C for one hour after which the material is stable enough for backside processes up to 300 °C. The material has been designed to adhere well to the carrier wafer and debond directly from the device wafer without any chemical or radiation pretreatment, leaving a clean device wafer surface in need of only mild cleaning before further processing.


2016 ◽  
Vol 2016 (DPC) ◽  
pp. 001255-001276 ◽  
Author(s):  
Elisabeth Brandl ◽  
Karine Abadie ◽  
Markus Wimplinger ◽  
Juergen Burggraf ◽  
Thomas Uhrmann ◽  
...  

Temporary bonding is a ley process for almost any 3D integration scheme. It offers not only more stability during the thinning process but also allows handling for backside processing of thin wafers like interposers during subsequent process steps [1–2]. Although the temporary bonding technology is already used in high volume manufacturing and has proven high yield process, nevertheless, some limitation appears for some specific applications [3-4-5]. One critical failure origin is delamination, which can lead to wafer breakage and therefore yield loss. This separation of the device wafer and the carrier wafer typically occurs when the temporary bonded wafer stack (device wafer, carrier wafer and temporary bonding adhesive in between) experiences further processing done under high temperature and low vacuum like PECVD deposition. Further insight into processing parameters and a better understanding of the key contributing factors as well as its dependencies help to prevent this failure. To investigate the root cause of the delamination, thermoplastic materials, which are widely used for temporary bonding and debonding applications have been used as temporary bonding adhesives in this work. Different process parameters were investigated individually but also in combination to find the origin of the delamination. These parameters include post thinning annealing temperature, which was varied up to 370C, vacuum level, thermal gradient, bow and warp and intrinsic stress of the thin device wafer. After evaluation of the main parameters affecting the delamination appearance, two extreme cases were experimented in order to check the hypothesis. The first one exhibits delaminations even using a very soft processing conditions for a temporary bonding integration and the second case is able to withstand extreme processing conditions like high temperature up to 370C under vacuum of about 1mbar without delamination appearance. In addition, during this work, the mechanical coupling existing between the carrier and the device wafer thanks to the adhesive has been investigated. Here, a thermoplastic material was used in a temporary bonded structures using wafers with different coefficients of thermal expansion (CTE). During thermal treatment, this CTE difference induce important internal stress bow of the wafer stack. The temperature dependence of the mechanical coupling is monitored during the annealing. A mechanical decoupling between the two wafers occurs when above the polymer glass transition temperature. As a result, the rheology of the thermoplastic layer is found as a contributor to the delamination mechanism. Critical combinations of process parameters in temporary bonding process are then clearly identified and will be presented in this work.


RSC Advances ◽  
2020 ◽  
Vol 10 (61) ◽  
pp. 37072-37079 ◽  
Author(s):  
Feng Li ◽  
Zhuomin Qiang ◽  
Shunqiang Chen ◽  
Jianyu Wei ◽  
Taohai Li ◽  
...  

We demonstrated the incorporation of (CuC12H30N6)2+ into the a Keggin type H3PW12O40 by a simple one-pot self-assembly process. A heterojunction structure was constructed by introducing CdS which has high photocatalytic activities.


2016 ◽  
Vol 874 ◽  
pp. 91-96
Author(s):  
Keita Shimada ◽  
Ayaka Watanabe ◽  
Yoshifumi Takasu ◽  
Masayoshi Mizutani ◽  
Tunemoto Kuriyagawa

Advanced semiconductor materials including silicon carbide and gallium nitride possess excellent properties like high hardness, and high heat and chemical resistance compared to silicon. Such properties reversely prevent efficient production of wafers, therefore a new wafer finishing method, tape grinding, is developed to improve productivity. This paper proposes a simulation method of tape grinding, which method is developed by modifying the stochastic approach developed for plunge grinding. The distribution of abrasive grains on the grinding tape is represented by number density, and the material existence probability that is represented by Abbott-Firestone curve is modified by considering machining parameters. Tape grinding process were then conducted to confirm the calculation method.


Polymers ◽  
2021 ◽  
Vol 13 (15) ◽  
pp. 2392
Author(s):  
Xin Zhang ◽  
Mengmeng Zhang ◽  
Mingyue Wu ◽  
Linchuan Yang ◽  
Rui Liu ◽  
...  

Precise control of target molecule release time, site, and dosage remains a challenge in controlled release systems. We employed a photoresponsive molecule release system via light-triggered charge reversal nanoparticles to achieve a triggered, stepwise, and precise controlled release platform. This release system was based on photocleavage-bridged polysilsesquioxane nanoparticles which acted as nanocarriers of doxorubicin loaded on the surface via electrostatic interaction. The nanoparticles could reverse into positive charges triggered by 254 nm light irradiation due to the photocleavage of the o-nitrobenzyl bridged segment. The charge reversal property of the nanoparticles could release loaded molecules. Doxorubicin was selected as a positively charged model molecule. The as-prepared nanoparticles with an average size of 124 nm had an acceptable doxorubicin loading content up to 12.8%. The surface charge of the nanoparticles could rapidly reverse from negative (−28.20 mV) to positive (+18.9 mV) upon light irradiation for only 10 min. In vitro release experiments showed a cumulative release up to 96% with continuously enhancing irradiation intensity. By regulating irradiation parameters, precisely controlled drug release was carried out. The typical “stepped” profile could be accurately controlled in an on/off irradiation mode. This approach provides an ideal light-triggered molecule release system for location, timing, and dosage. This updated controlled release system, triggered by near-infrared or infrared light, will have greater potential applications in biomedical technology.


2011 ◽  
Vol 2011 (1) ◽  
pp. 000202-000207 ◽  
Author(s):  
H. H. Chang ◽  
J. H. Lau ◽  
W. L. Tsai ◽  
C. H. Chien ◽  
P. J. Tzeng ◽  
...  

In this study, thin wafer handling of 300mm wafer for 3D IC Integration is investigated. Emphasis is placed on the determination of the effect of a dicing tape on thin-wafer handling of wafers with Cu-Au pads, Cu-Ni-Au UBM, and TSV interposer with RDL. Also, thin-wafer handling critical issues such as the chip/interposer wafer, carrier wafer, temporary bonding, thinning, backside process, de-bonding, and assembly are presented and their potential solutions are discussed. Finally, state-of-the-art of materials and equipments for thin-wafer handling are examined.


2013 ◽  
Vol 2013 (1) ◽  
pp. 000389-000396
Author(s):  
J. H. Lau ◽  
H. C. Chien ◽  
S. T. Wu ◽  
Y. L. Chao ◽  
W. C. Lo ◽  
...  

Thin-wafer handling is one of the key enabling technologies for 2.5D/3D IC integration. Usually, it temporary bonds the TSV (through-silicon via)/RDL (redistribution layer) wafer (e.g., passive and active interposers) to a supporting carrier wafer with an adhesive, backgrinds the TSV/RDL interposer wafer to very thin (≤100μm), goes through all the necessary processes, and then de-bonds the thin TSV/RDL interposer wafer from the carrier wafer. In this study, a different route will be taken which eliminates the temporary bonding and de-bonding processes. Emphasis is placed on using a heat-spreader wafer as a supporting carrier wafer during the manufacturing processes and after the assembly is completed (diced), the heat-spreader remains on the thin TSV/RDL interposer. This is a very simple and low-cost thin-wafer handling method for 2.5D/3D IC integration.


RSC Advances ◽  
2014 ◽  
Vol 4 (46) ◽  
pp. 24363-24368 ◽  
Author(s):  
Yueli Liu ◽  
Guojie Yang ◽  
Hao Zhang ◽  
Yuqing Cheng ◽  
Keqiang Chen ◽  
...  

Photodegradation curves of Cu2O/titanate heterojunctions under visible light irradiation.


Sign in / Sign up

Export Citation Format

Share Document