Effect of Alkaline Agent in Colloidal Silica Slurry for Polycrystalline Silicon Chemical Mechanical Polishing

2007 ◽  
Vol 46 (8A) ◽  
pp. 5089-5094 ◽  
Author(s):  
Myung-Yoon Lee ◽  
Hyun-Goo Kang ◽  
Manabu Kanemoto ◽  
Ungyu Paik ◽  
Jea-Gun Park
2008 ◽  
Vol 600-603 ◽  
pp. 831-834 ◽  
Author(s):  
Joon Ho An ◽  
Gi Sub Lee ◽  
Won Jae Lee ◽  
Byoung Chul Shin ◽  
Jung Doo Seo ◽  
...  

2inch 6H-SiC (0001) wafers were sliced from the ingot grown by a conventional physical vapor transport (PVT) method using an abrasive multi-wire saw. While sliced SiC wafers lapped by a slurry with 1~9㎛ diamond particles had a mean height (Ra) value of 40nm, wafers after the final mechanical polishing using the slurry of 0.1㎛ diamond particles exhibited Ra of 4Å. In this study, we focused on investigation into the effect of the slurry type of chemical mechanical polishing (CMP) on the material removal rate of SiC materials and the change in surface roughness by adding abrasives and oxidizer to conventional KOH-based colloidal silica slurry. The nano-sized diamond slurry (average grain size of 25nm) added in KOH-based colloidal silica slurry resulted in a material removal rate (MRR) of 0.07mg/hr and the Ra of 1.811Å. The addition of oxidizer (NaOCl) in the nano-size diamond and KOH based colloidal silica slurry was proven to improve the CMP characteristics for SiC wafer, having a MRR of 0.3mg/hr and Ra of 1.087Å.


2005 ◽  
Vol 867 ◽  
Author(s):  
Kyoung-Ho Bu ◽  
Brij M. Moudgil

AbstractAmong various properties of chemical mechanical polishing (CMP) slurry, selectivity plays a key role in global planarization of high density and small pattern size shallow trench isolation (STI) process. Lack of adequate selectivity can lead to defects such as dishing and erosion. To improve the selectivity of STI CMP process, CMP characteristics of silica and silicon nitride wafer were investigated using colloidal silica slurry as a function of slurry pH. Sodium dodecyl sulfate (SDS), an anionic surfactant, was added to increase the selectivity of the slurry. As a result, selectivity increased from 3 to 25. It was concluded that selective passivation layer formed on silicon nitride wafer surface at acidic slurry pH range was responsible for the observed selectivity increase. Adsorption characteristics of SDS on silica and silicon nitride were measured as a function of slurry pH and concentration of SDS. As indicated by zeta potential behavior under acidic pH conditions, SDS adsorption on silicon nitride was significantly higher han silica due to the electrostatic forces. Significantly higher SDS coating on silicone nitride seems to have resulted in lubrication layer leading to increased polishing selectivity.


1994 ◽  
Vol 337 ◽  
Author(s):  
Shyam Murarka ◽  
Sen-Hou Ko ◽  
Minoru Tomozawa ◽  
Pei-Jun Ding ◽  
William A. Lanford

ABSTRACTChemical Mechanical polishing (CMP) is a useful technique for achieving global planarization in the ICs. The CMP of oxide has been used and studied for decades. Only recently the technique has been employed for planarizing the interlayer dielectric (ILD) on the silicon devices circuits. The effect of such polishing on the performance of the ILD has been the concern. This paper examines the attempts on defining the damage caused by CMP and its effect on the electrical properties after polished SiO2 wafers. In this investigation the PECVD and thermal oxide films were polished in the colloidal silica slurry on IC 60 pad. The polished oxide were then studied using I-V and nuclear reaction technique. The results show a surface damage which extends to about 800 Å in the polished oxide. The changes occurring in the concentration of hydrogenous species at the surface of SiO2 as determined by nuclear reaction technique will also be presented. It is shown that due to CMP as-deposited CVD SiO2 films loose water from surface regions whereas well annealed or dry oxides gain water at the surface. The results will be discussed and mechanisms will be presented to explain electrical results.


2011 ◽  
Vol 158 (12) ◽  
pp. H1206 ◽  
Author(s):  
Hideo Aida ◽  
Hidetoshi Takeda ◽  
Koji Koyama ◽  
Haruji Katakura ◽  
Kazuhiko Sunakawa ◽  
...  

2008 ◽  
Vol 373-374 ◽  
pp. 820-823
Author(s):  
Sheng Li Wang ◽  
Y.J. Yuan ◽  
Yu Ling Liu ◽  
X.H. Niu

Chemical mechanical polishing (CMP) of copper films in alkaline slurries was investigated. In the copper CMP, the slurry was made by adding colloidal silica abrasive to de-ionized water.The organic alkali was added to adjust the pH, H2O2 was used as an oxidizer.The effects of varying polishing temperature, polishing pressure, slurry flow rate, organic alkali concentration and oxidizer concentration on removal rate were investigated in order to determine the optimum conditions for those parameters. It is shown the chemical composition of the slurry was 2%~3% oxidizer concentration, 3% organic alkali concentration and proper amount surfactant is reasonable. The solid concentration of the polishing slurry was fixed at 20% by weight. The removal rate of copper could reach 700nm/min and the surface roughness after CMP was 0.49nm.


2007 ◽  
Vol 556-557 ◽  
pp. 753-756 ◽  
Author(s):  
Tomohisa Kato ◽  
Keisuke Wada ◽  
Eiji Hozomi ◽  
Hiroyoshi Taniguchi ◽  
Tomonori Miura ◽  
...  

We report SiC wafer polishing study to achieve high throughput with extremely flat, smooth and damageless surface. The polishing consists of three process, wafer grinding, lapping and chemical mechanical polishing (CMP), which are completed in shortest about 200 minutes in total for 2 inch wafer. Specimens of 4H- and 6H-SiC were provided from slicing single crystal as wafers oriented (0001) with 0 or 8 degrees offset angle toward to <112 _ 0>. By the first grinding using a diamond whetstone wheel, we realized flat surface on the wafers with small TTV error of 1 μm in 15 minutes. After second process of lapping, the wafers were finished by CMP using colloidal silica slurry. AFM observation showed not only scratch-free surface but also atomic steps on the wafers after CMP. Rms marks extremely flat value of 0.08 nm in 10 μm square area.


2008 ◽  
Vol 594 ◽  
pp. 181-186
Author(s):  
Jhy Cherng Tsai ◽  
Jin Fong Kao

In this paper, experiments are designed and conducted to investigate the effects of abrasive size for Chemical-Mechanical Polishing (CMP) of copper film under different additives in HNO3-based polishing slurries. Alumina modified colloidal silica 100S (φ26nm), 200S (φ40nm) and Al2O3 (φ90nm), are used as polishing abrasives in this study. Experiments showed the following results. (1) With citric acid as an additive to slurry, the removal rate (RR) of the CMP process increases with abrasive size. Surface quality, however, becomes worse at the same time. (2) With benzotriazole (BTA) as an additive, RR of the slurry with Al2O3 powder is slightly higher but it does not increase with the abrasive size in general. Surface quality tends to be worse at the same time though it is not as strong as that in the slurry with citric acid as the additive. (3) The size effect of abrasive on RR with citric acid as additive is stronger than that with BTA.


Sign in / Sign up

Export Citation Format

Share Document