Characteristics of hafnium oxide grown on silicon by atomic-layer deposition using tetrakis(ethylmethylamino)hafnium and water vapor as precursors

2007 ◽  
Vol 22 (7) ◽  
pp. 1899-1906 ◽  
Author(s):  
Yan-Kai Chiou ◽  
Che-Hao Chang ◽  
Tai-Bor Wu

The growth of HfO2 thin films on a HF-dipped p-Si(100) substrate at 200 °C by atomic-layer deposition (ALD) using Hf[N(C2H5)(CH3)]4 and H2O vapor as precursors is demonstrated. Uniform HfO2 thin films are obtained on a 4-in. silicon wafer, and the energy-band gap and band offset are determined by x-ray photoelectron spectroscopy analysis. The as-deposited HfO2 thin film is amorphous and able to crystallize at 500 ∼ 600 °C with only the monoclinic phase. As for the electrical performance of Au–Ti–HfO2–Si metal oxide semiconductor capacitors, a dielectric constant of ∼17.8 and an equivalent oxide thickness value of ∼1.39 nm are obtained from the 40-cycle ALD film after annealing at 500 °C. In addition, the breakdown field is in the range of 5 ∼ 5.5 MV/cm, and the fixed charge density is on the order of 1012 cm−2, depending on the annealing temperatures. The interface quality of HfO2 thin films on silicon is satisfactory with an interface-trap charge density of ∼3.7 × 1011 cm−2 eV−1.

2005 ◽  
Vol 15 (4) ◽  
pp. 275-280
Author(s):  
Hie-Chul Kim ◽  
Min-Wan Kim ◽  
Hyung-Su Kim ◽  
Hyug-Jong Kim ◽  
Woo-Keun Sohn ◽  
...  

2007 ◽  
Vol 996 ◽  
Author(s):  
Justin C. Hackley ◽  
J. Derek Demaree ◽  
Theodosia Gougousi

AbstractA hot wall Atomic Layer Deposition (ALD) flow reactor equipped with a Quartz Crystal Microbalance (QCM) has been used for the deposition of HfO2 thin films with tetrakis (dimethylamino) hafnium (TDMAH) and H2O as precursors. HfO2 films were deposited on H-terminated Si and SC1 chemical oxide starting surfaces. Spectroscopic ellipsometry (SE) and QCM measurements confirm linear growth of the films at a substrate temperature of 275°C. FTIR spectra indicate the films are amorphous as-deposited. Two distinct growth regimes are observed: from 1-50 cycles, both surfaces display similar growth rates of about 1.0Å/cycle; from 50-200 cycles, HfO2 growth is decreased by about 15% to ~0.87Å/cycle on both surfaces. Nucleation and initial growth behavior of the films on Si-H were examined using X-ray photoelectron spectroscopy (XPS). Angle-resolved XPS, at take-off angles of θ=0, 15, 30, 45 and 60° measured from the normal to the sample surface, is used to probe the interfacial region of thin films (4, 7, 10, 15 and 25 cycles) on H-terminated samples. Initially, an interfacial layer comprised of a SiOx/HfSiOx mixture is grown between 1-10 ALD cycles. We observe that the Si/HfO2 interface is unstable, and oxidation continues up to the 25th ALD cycle, reaching a thickness of ~18Å.


2020 ◽  
Vol 1004 ◽  
pp. 547-553
Author(s):  
A.B. Renz ◽  
Oliver J. Vavasour ◽  
Peter M. Gammon ◽  
Fan Li ◽  
Tian Dai ◽  
...  

A systematic post-deposition annealing study on Silicon Carbide (SiC) metal-oxide-semiconductor capacitors (MOSCAPs) using atomic layer deposition (ALD)-deposited silicon dioxide (SiO2) layers was carried out. Anneals were done in oxidising (N2O), inert (Ar) and reducing (H2:N2) ambients at elevated temperatures from 900°C to 1300°C for 1 hour. Electrical characterisation results show that the forming gas treatment at 1100°C reduces the flatband voltage to 0.23 V from 10 V for as-deposited SiO2 layers. The density of interface traps (DIT) was also reduced by one order of magnitude to 2×1011 cm-2 eV-1 at EC-ET = 0.2 eV. As an indicator of the improvement, characterisation by x-ray photoelectron spectroscopy (XPS) showed that silicon enrichment present in as-deposited layers was largely reduced by the forming gas anneal, improving the stoichiometry. Time-dependent dielectric breakdown (TDDB) results showed that the majority of forming gas annealed samples broke down at breakdown fields of 12.5 MV × cm-1, which is about 2.5 MV × cm-1 higher than for thermally oxidised samples.


Crystals ◽  
2020 ◽  
Vol 10 (2) ◽  
pp. 136 ◽  
Author(s):  
Zhigang Xiao ◽  
Kim Kisslinger ◽  
Sam Chance ◽  
Samuel Banks

We report the growth of nanoscale hafnium dioxide (HfO2) and zirconium dioxide (ZrO2) thin films using remote plasma-enhanced atomic layer deposition (PE-ALD), and the fabrication of complementary metal-oxide semiconductor (CMOS) integrated circuits using the HfO2 and ZrO2 thin films as the gate oxide. Tetrakis (dimethylamino) hafnium (Hf[N(CH3)2]4) and tetrakis (dimethylamino) zirconium (IV) (Zr[N(CH3)2]4) were used as the precursors, while O2 gas was used as the reactive gas. The PE-ALD-grown HfO2 and ZrO2 thin films were analyzed using X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), and high-resolution transmission electron microscopy (HRTEM). The XPS measurements show that the ZrO2 film has the atomic concentrations of 34% Zr, 2% C, and 64% O while the HfO2 film has the atomic concentrations of 29% Hf, 11% C, and 60% O. The HRTEM and XRD measurements show both HfO2 and ZrO2 films have polycrystalline structures. n-channel and p-channel metal-oxide semiconductor field-effect transistors (nFETs and pFETs), CMOS inverters, and CMOS ring oscillators were fabricated to test the quality of the HfO2 and ZrO2 thin films as the gate oxide. Current-voltage (IV) curves, transfer characteristics, and oscillation waveforms were measured from the fabricated transistors, inverters, and oscillators, respectively. The experimental results measured from the HfO2 and ZrO2 thin films were compared.


2019 ◽  
Vol 10 ◽  
pp. 1443-1451
Author(s):  
Ivan Kundrata ◽  
Karol Fröhlich ◽  
Lubomír Vančo ◽  
Matej Mičušík ◽  
Julien Bachmann

Lithiated thin films are necessary for the fabrication of novel solid-state batteries, including the electrodes and solid electrolytes. Physical vapour deposition and chemical vapour deposition can be used to deposit lithiated films. However, the issue of conformality on non-planar substrates with large surface area makes them impractical for nanobatteries the capacity of which scales with surface area. Atomic layer deposition (ALD) avoids these issues and is able to deposit conformal films on 3D substrates. However, ALD is limited in the range of chemical reactions, due to the required volatility of the precursors. Moreover, relatively high temperatures are necessary (above 100 °C), which can be detrimental to electrode layers and substrates, for example to silicon into which the lithium can easily diffuse. In addition, several highly reactive precursors, such as Grignard reagents or n-butyllithium (BuLi) are only usable in solution. In theory, it is possible to use BuLi and water in solution to produce thin films of LiH. This theoretical reaction is self-saturating and, therefore, follows the principles of solution atomic layer deposition (sALD). Therefore, in this work the sALD technique and principles have been employed to experimentally prove the possibility of LiH deposition. The formation of homogeneous air-sensitive thin films, characterized by using ellipsometry, grazing incidence X-ray diffraction (GIXRD), in situ quartz crystal microbalance, and scanning electron microscopy, was observed. Lithium hydride diffraction peaks have been observed in as-deposited films by GIXRD. X-ray photoelectron spectroscopy and Auger spectroscopy analysis show the chemical identity of the decomposing air-sensitive films. Despite the air sensitivity of BuLi and LiH, making many standard measurements difficult, this work establishes the use of sALD to deposit LiH, a material inaccessible to conventional ALD, from precursors and at temperatures not suitable for conventional ALD.


2003 ◽  
Vol 765 ◽  
Author(s):  
Xinye Liu ◽  
Sasangan Ramanathan ◽  
Thomas E. Seidel

AbstractHafnium oxide (HfO2) thin films were synthesized from tetrakis(dimethylamino) hafnium (TDMAH) and ozone (O3) by atomic layer deposition (ALD) on 200 mm silicon wafers. Gradual saturation was observed for TDMAH exposure pulse. However O3 showed better saturation behavior for O3exposure. Yet, 100% step coverage was achieved for ~100nm trenches with aspect ratio of 35. Temperature dependence of the deposition rate was studied at susceptor temperature from 160°C to 420°C. The lowest deposition rate was observed at 320°C. Mercury probe measurements indicated the dielectric constant increased from 16 to 20 as susceptor temperature increased from 200°C to 320°C. Selected comparisons with tetrakis (ethylmethylamino) hafnium (TEMAH) were also made.


Author(s):  
K. C. Kragh ◽  
A. Kueltzo ◽  
M. Singh ◽  
Q. Tao ◽  
G. Jursich ◽  
...  

Atomic layer deposition of hafnium oxide from tetrakis (diethylamino) hafnium (TDEAH) and water vapor was employed to create thin films on silicon with reactor temperatures as low as 30o C. Spectral ellipsometry and X-ray photoelectron spectroscopy were used to probe the thickness and composition of these films. Deposition at the same temperature of 30o C was carried out on poly-caprolactone (PCL) nanofibers as a template to examine the possibility of fabricating hafnium oxide nanotubes. Energy dispersive X-ray scans and scanning electron microscope images revealed significant hafnia coverage on the fibers, suggesting that hafnium oxide nanotubes can be formed by means of polymer vaporization after deposition.


Sign in / Sign up

Export Citation Format

Share Document