Polycrystalline Silicon Films Formed by Solid-Phase Crystallization of Amorphous Silicon: the Substrate Effects on Crystallization Kinetics and Mechanism

1996 ◽  
Vol 424 ◽  
Author(s):  
Y.-H. Song ◽  
S.-Y. Kang ◽  
K. I. Cho ◽  
H. J. Yoo ◽  
J. H. Kim ◽  
...  

AbstractThe substrate effects on the solid-phase crystallization of amorphous silicon (a-Si) have been extensively investigated. The a-Si films were prepared on two kinds of substrates, a thermally oxidized Si wafer (SiO2/Si) and a quartz, by low-pressure chemical vapor deposition (LPCVD) using Si2H6 gas at 470 °C and annealed at 600 °C in an N2 ambient for crystallization. The analysis using XRD and Raman scattering shows that crystalline nuclei are faster formed on the SiO2/Si than on the quartz, and the time needed for the complete crystallization of a-Si films on the SiO2/Si is greatly reduced to 8 h from ˜15 h on the quartz. In this study, it was first observed that crystallization in the a-Si deposited on the SiO2/Si starts from the interface between the a-Si film and the thermal oxide of the substrate, called interface-induced crystallization, while random nucleation process dominates on the quartz. The very smooth surface of the SiO2/Si substrate is responsible for the observed interface-induced crystallization of a-Si films.

1995 ◽  
Vol 403 ◽  
Author(s):  
T. Mohammed-Brahim ◽  
K. Kis-Sion ◽  
D. Briand ◽  
M. Sarret ◽  
F. Lebihan ◽  
...  

AbstractThe Solid Phase Crystallization (SPC) of amorphous silicon films deposited by Low Pressure Chemical Vapor phase Deposition (LPCVD) using pure silane at 550'C was studied by in-situ monitoring the film conductance. The saturation of the conductance at the end of the crystallization process is found transient. The conductance decreases slowly after the onset of the saturation. This degradation is also observed from other analyses such as ellipsometry spectra, optical transmission and Arrhenius plots of the conductivity between 250 and 570K. Hall effect measurements show that the degradation is due to a decrease of the free carrier concentration n and not to a decrease of the mobility. This indicates a constant barrier height at the grain boundaries. The decrease of n is then due to a defect creation in the grain. Hence, whatever the substrate used, an optimum crystallization time exists. It depends on the amorphous quality film which is determined by the deposition techniques and conditions and on the crystallization parameters.


2000 ◽  
Vol 15 (7) ◽  
pp. 1630-1634 ◽  
Author(s):  
A. Rodríguez ◽  
J. Olivares ◽  
C. González ◽  
J. Sangrador ◽  
T. Rodríguez ◽  
...  

The crystallization kinetics and film microstructure of poly-SiGe layers obtained by solid-phase crystallization of unimplanted and C- and F-implanted 100-nm-thick amorphous SiGe films deposited by low-pressure chemical vapor deposition on thermally oxidized Si wafers were studied. After crystallization, the F- and C-implanted SiGe films showed larger grain sizes, both in-plane and perpendicular to the surface of the sample, than the unimplanted SiGe films. Also, the (111) texture was strongly enhanced when compared to the unimplanted SiGe or Si films. The crystallized F-implanted SiGe samples showed the dendrite-shaped grains characteristic of solid-phase crystallized pure Si. The structure of the unimplanted SiGe and C-implanted SiGe samples consisted of a mixture of grains with well-defined contour and a small number of quasi-dendritic grains. These samples also showed a very low grain-size dispersion.


1984 ◽  
Vol 35 ◽  
Author(s):  
K. T-Y. Kung ◽  
R. B. Ivepson ◽  
R. Reif

ABSTRACTPolycrystalline silicon films 4800 Å thick deposited via low pressure chemical vapor deposition on oxidized silicon wafers have been amorphized by silicon ion implantation and subsequently recrystallized at 700°C. Due to channeling of the ions through grains whose <110> axes were sufficiently parallel to the beam, these grains survived the implantation step and acted as seed crystals for the solid-phase epitaxial regrowth of the film. This work suggests the feasibility of combining ion implantation and furnace annealing to generate large-grain, uniformly oriented polycrystal1ine films on amorphous substrates. It is a potential low-temperature silicon-on-insulator technology.


1995 ◽  
Vol 398 ◽  
Author(s):  
T. Mohammed-Brahim ◽  
D. Briand ◽  
K. Kis-Sion ◽  
D. Guillet ◽  
A.C. Salaün ◽  
...  

ABSTRACTSolid Phase Crystallization of amorphous silicon films, deposited by the Low Pressure Chemical Vapor Deposition technique, is studied by in-situ monitoring the film conductance. The crystal growth rate VG, deduced from this measurement, was found to be thermally activated. The activation energy E behaviour for films with different doping varying in a great range, from undoped to 4×1019 cm−3, was then deduced. This behaviour, described for the first time in this work, shows a constant E for undoped and weak doping, then a high decrease after a doping value threshold. The undoped films show a decreasing E when the deposition rate increases i.e. when the structure of the amorphous deposited film tends to correspond to the relaxed amorphous network. All these new results are used to introduce a crystallization model based on a crystalline-amorphous double phase and on the charge of defects at the crystal-amorphous interface.


2011 ◽  
Vol 1321 ◽  
Author(s):  
Chong Luo ◽  
Juan Li ◽  
He Li ◽  
Zhiguo Meng ◽  
Chunya Wu ◽  
...  

ABSTRACTThe roles of hydrogen plasma radicals on passivation of several kinds of crystallized poly-Si thin films were investigated using optical emission spectroscopy (OES) combined with Hall mobility, Raman spectra, and absorption coefficient spectra. It was found that different kinds of hydrogen plasma radicals are responsible for passivation of dissimilar poly-Si crystallized by different method. Radicals Hα with lower energy are mainly responsible for passivating the poly-Si crystallized by solid phase crystallization (SPC) whose crystallization precursor was made by plasma enhanced chemical vapor deposition (PECVD). Higher energy radicals H* are more effective in passivating defects left over by Ni in poly-Si crystallized by Metal Induced Crystallization (MIC). The highest energy radicals Hβ and Hγ are needed to passivate the defects in poly-Si crystallized by SPC but whose precursor was made by low pressure CVD (LPCVD).


Author(s):  
Curtis Anderson ◽  
Lin Cui ◽  
Uwe Kortshagen

This paper describes the rapid formation of polycrystalline silicon films through seeding with silicon nanocrystals. The incorporation of seed crystals into amorphous silicon films helps to eliminate the crystallization incubation time observed in non-seeded amorphous silicon films. Furthermore, the formation of several tens of nanometer in diameter voids is observed when cubic silicon nanocrystals with around 30 nm in size are embedded in the amorphous films. These voids move through the amorphous film with high velocity, pulling behind them a crystallized “tail.” This mechanism leads to rapid formation of polycrystalline films.


2003 ◽  
Vol 762 ◽  
Author(s):  
Yaocheng Liu ◽  
Michael D. Deal ◽  
Mahmooda Sultana ◽  
James D. Plummer

AbstractMetal-induced crystallization (MIC) of amorphous Si is gaining increased interest because of its potential use for low-temperature fabrication of integrated circuits. In this work, the MIC technique was used to make Si nanocrystals and the effects of stress on the crystallization were studied. Amorphous Si films were deposited onto the Si substrate with thermal oxides on top by low-pressure chemical vapor deposition (LPCVD) and then patterned into nanoscale pillars by electron beam lithography and reactive ion etching. A conformal low-temperature oxide (LTO) layer was deposited to cover the pillars, followed by an anisotropic etch back to form a spacer, leaving only the top surface of the pillars exposed to the 5 nm Ni sputtering deposition afterwards. An HF dip was used to partially remove the LTO spacers on the pillars, leading to different LTO thicknesses on different samples. These samples were then annealed to crystallize the amorphous Si pillars, forming Si nanocrystals. Transmission electron microscope (TEM) observations after anneal found a clear dependence of the crystallization rate on the pillar size as well as the LTO thickness. The crystallization rate was lower for pillars with thicker LTO spacers, while for the same LTO thickness the crystallization rate was lower for pillars with narrower width. A model based on the stress in the pillars is proposed to explain this dependence. This model suggests some methods to control the nickel-induced crystallization process and achieve higher quality Si nanocrystals.


2001 ◽  
Vol 664 ◽  
Author(s):  
Marek A. T. Izmajlowicz ◽  
Neil A. Morrison ◽  
Andrew J. Flewitt ◽  
William I. Milne

ABSTRACTFor application to active matrix liquid crystal displays (AMLCDs), a low temperature (< 600 °C) process for the production of polycrystalline silicon is required to permit the use of inexpensive glass substrates. This would allow the integration of drive electronics onto the display panel. Current low temperature processes include excimer laser annealing, which requires expensive equipment, and solid phase crystallization, which requires high temperatures. It is known that by adding small amounts of metals such as nickel to the amorphous silicon the solid phase crystallization temperature can be significantly reduced. The rate of this solid phase metal induced crystallization is increased in the presence of an electric field. Previous work on field aided crystallization has reported crystal growth that either proceeds towards the positive terminal or is independent of the direction of the electric field. In this work, extensive investigation has consistently revealed directional crystallization, from the positive to the negative terminal, of amorphous silicon thin films during heat treatment in the presence of an electric field. This is the first time that this phenomenon has been reported. Models have been proposed for metal induced crystallization with and without an applied electric field in which a reaction between Ni and Si to produce NiSi is the rate-limiting step. The crystallization rate is increased in the presence of an electric field through the drift of positive Ni ions.


1995 ◽  
Vol 403 ◽  
Author(s):  
J. H. Lee ◽  
C. W. Hwang ◽  
J. E. Shin ◽  
Y. S. Jin ◽  
S. B. Mah

AbstractThe solid phase crystallization behavior of argon ion (Ar+) implanted very thin polycrystalline silicon (poly-Si) films has been investigated. Poly-Si films of 500Å thickness were deposited at 625°C by low pressure chemical vapor deposition (LPCVD). The films were amorphized by Ar+ implantation with 7 ° tilt angle. The amount of ions implanted was varied from 2.0 × 1013 cm-2 to 1.2 × 1015 cm-2 and the acceleration voltages from 40KeV to 120KeV. The films were recrystallized by furnace annealing at 580°C for 48 hours in N2 atmosphere, followed by 1000°C annealing The crystallinity of the recrystallized Si films and the distribution of the argon atoms in the film were investigated. It was found that the crystallinity strongly depended on the Ar+ implantation dose. The average grain size of Ar+ implanted film was about 0.25μm, which was smaller than that of Si+ implanted film of the same dose, 0.45μm. Ar atoms retarded the grain growth rate during the annealing process and the excess Ar atoms in Si films were segregated at the surface of silicon films after 1000°C annealing Poly-Si thin film transistors (TFTs) were fabricated at high temperature using Ar+ implantation technique. Remarkable electrical characteristics (Ids- Vgs) were obtained such as an electron mobility of 35 cm2/V.s, which was attributed to the enhancement of crystallinity by Ar+ implantation. But, segregated Ar atoms near the interface would give rise to structural deformation and crystalline defects which can act as the scattering and’ trapping centers for carriers.


Sign in / Sign up

Export Citation Format

Share Document