Effect of Laser Thermal Processing on Defect Evolution in Silicon

2002 ◽  
Vol 717 ◽  
Author(s):  
Erik Kuryliw ◽  
Kevin S. Jones ◽  
David Sing ◽  
Michael J. Rendon ◽  
Somit Talwar

AbstractLaser Thermal Processing (LTP) involves laser melting of an implantation induced preamorphized layer to form highly doped ultra shallow junctions in silicon. In theory, a large number of interstitials remain in the end of range (EOR) just below the laser-formed junction. There is also the possibility of quenching in point defects during the liquid phase epitaxial regrowth of the melt region. Since post processing anneals are inevitable, it is necessary to understand both the behavior of these interstitials and the nature of point defects in the recrystallized-melt region since they can directly affect deactivation and enhanced diffusion. In this study, an amorphizing 15 keV 1 x 1015/cm2 Si+ implant was done followed by a 1 keV 1 x 1014/cm2 B+ implant. The surface was then laser melted at energy densities between 0.74 and 0.9 J/cm2 using a 308 nm excimer-laser. It was found that laser energy densities above 0.81 J/cm2 melted past the amorphous-crystalline interface. Post-LTP furnace anneals were performed at 750°C for 2 and 4 hours. Transmission electron microscopy was used to analyze the defect formation after LTP and following furnace anneals. Secondary ion mass spectrometry measured the initial and final boron profiles. It was observed that increasing the laser energy density led to increased dislocation loop formation and increased diffusion after the furnace anneal. A maximum loop density and diffusion was observed at the end of the process window, suggesting a correlation between the crystallization defects and the interstitial evolution.

2002 ◽  
Vol 717 ◽  
Author(s):  
K. A. Gable ◽  
K. S. Jones ◽  
M. E. Law ◽  
L. S. Robertson ◽  
S. Talwar

AbstractOne alternative to conventional rapid thermal annealing (RTA) of implants for ultra-shallow junction formation is that of laser annealing. Laser thermal processing (LTP) incorporates an excimer pulsed laser capable of melting the near surface region of the silicon (Si) substrate. The melt depth is dependent upon the energy density supplied by the irradiation source and the melting temperature of the substrate surface. A process window associated with this technique is able to produce similar junction depths over a range of energy densities due to the melting temperature depression established with pre-amorphization of the substrate surface prior to dopant incorporation. The process window of germanium (Ge) preamorphized, boron (B) doped Si was investigated. 200 mm (100) n-type Si wafers were preamorphized via 18 keV Ge+ implantation to 1x1015/cm2 and subsequently implanted with 1 keV B+ to doses of 1x1015/cm2, 3x1015/cm2, 6x1015/cm2, and 9x1015/cm2. The wafers were laser annealed from 0.50 J/cm2 to 0.88 J/cm2 using a 308 nm XeCl excimer irradiation source. Transmission electron microscopy (TEM) was used to determine the process window for each implant condition, and correlations between process window translation and impurity concentration were made. Four-point probe quantified dopant activation and subsequent deactivation upon post-LTP furnace annealing.


2010 ◽  
Vol 09 (04) ◽  
pp. 341-344
Author(s):  
L. S. TAN ◽  
J. Y. TAN ◽  
A. BEGUM ◽  
M. H. HONG ◽  
A. Y. DU ◽  
...  

The effect of laser energy fluence and substrate heating on the annealing of boron-implanted silicon–germanium epitaxial layers on silicon was investigated. By making use of the difference in the melting points of silicon–germanium and silicon, a process window in the laser energy fluence can be found such that the meltdepth was confined within the silicon–germanium. Pre-heating of the substrate to 300°C was done to reduce the laser fluence required and improve the surface morphology. Cross-sectional transmission electron microscopy showed that there were no end-of-range defects due to ion implantation at the silicon–germanium/silicon interface after the laser annealing.


2003 ◽  
Vol 765 ◽  
Author(s):  
J. Venturini ◽  
M. Hernandez ◽  
D. Zahorski ◽  
G. Kerrien ◽  
T. Sarnet ◽  
...  

AbstractAccording to the International Technology Roadmap for Semiconductors (ITRS), the doping technology requirements for the MOSFET source and drain regions of the future CMOS generations lead to a major challenge. A critical point of this evolution is the formation of ultra-shallow junctions(USJ) for which present technologies, based on ion implantation and rapid thermal annealing, will hardly meet the ITRS specifications. Laser Thermal Processing (LTP) has been shown to be a potential candidate to solve this fundamental problem. In the present paper, LTP experiments have been performed with two XeCl excimer lasers (λ= 308 nm) with different pulse characteristics. The first laser (Lambda Physik, Compex 102) delivers 200 mJ laser pulses with a duration of ∼25 ns. The second laser is an industrial tool (SOPRA, VEL 15) that delivers 16 J laser pulses with a duration of ∼200 ns and allows to anneal a few cm die in a single laser shot. Here we examine the influence of the pulse duration on LTP of B+ (with and without Ge+ pre-amorphization) and BF2 implanted silicon samples on the basis of real-time optical monitoring of the laser induced melting/recrystallisation process, four-point probe resistivity measurements, secondary ion mass spectrometry (SIMS) depth profiles. Experimental results are compared to finite element modelisation (FIDAP Fluent Software) that takes into account both laser pulses. The activated dopant dose, junction depth and sheet resistance, as a function of the laser fluence and shot number for both lasers, confirm the efficiency of laser processing to realize ultra-shallow and highly doped junctions as required by the future CMOS generations. Influence of the pulse duration on the USJ formation process is also discussed.


2001 ◽  
Vol 669 ◽  
Author(s):  
Susan Earles ◽  
Mark Law ◽  
Kevin Jones ◽  
Somit Talwar ◽  
Sean Corcoran

ABSTRACTHeavily-doped, ultra-shallow junctions in boron implanted silicon using pulsed laser annealing have been created. Laser energy in the nonmelt regime has been supplied to the silicon surface at a ramp rategreater than 1010°C/sec. This rapid ramp rate will help decrease dopant diffusion while supplying enough energy to the surface to produce dopant activation. High-dose, non-amorphizing 1 keV, 1e15 ions/cm2 boron is used. Four-point probe measurements (FPP) show a drop in sheet resistance withnonmelt laser annealing (NLA) alone. Transmission electron microscopy (TEM) shows the NLA dramatically affects the defect nucleation resulting in fewer defects with post annealing. Hall mobility and secondary ion mass spectroscopy (SIMS) results are also shown.


2008 ◽  
Author(s):  
Frank Torregrosa ◽  
Hasnaa Etienne ◽  
Guillaume Sempere ◽  
Gilles Mathieu ◽  
Laurent Roux ◽  
...  

2001 ◽  
Vol 200-202 ◽  
pp. 145-152
Author(s):  
H.B. Banisaukas ◽  
Kevin S. Jones ◽  
S. Talwar ◽  
D.C. Jacobson

1980 ◽  
Vol 2 ◽  
Author(s):  
D. K. Sadana ◽  
J. Washburn ◽  
M. D. Strathman ◽  
G. R. Booker ◽  
M. H. Badawi

ABSTRACTInteraction of impurities with the “visible defects” in hot implanted Cr doped semi-insulating (100) GaAs has been investigated. The defects studies were performed using transmission electron microscopy (TEM) and MeV He+ channeled Rutherford backscattering. The defects distribution was obtained by 90° cross-sectional TEM (XTEM). The atomic concentration profiles of Se, and carrier-concentration and mobility profiles were obtained by secondary ion mass spectrometry (SIMS) and Hall measurements in conjunction with chemical stopping, respectively. Comparison of defects, atomic and electrical profiles, showed the formation of secondary defects at and beyond the projected range (Rp), a significant amount of Se+ diffusion beyond Rp, and compensation of electrical carriers caused mainly by the point defects present in hot implanted GaAs.


1987 ◽  
Vol 92 ◽  
Author(s):  
P.G. Carey ◽  
J. E. Turner ◽  
K. Nauka ◽  
G. A. Reid ◽  
T. W. Sigmon

ABSTRACTThe viability of Gas Immersion Laser Doping (GILD) for VLSI processing of ultra shallow junctions is assessed using chemical, electrical and structural characterization of boron doped diodes. Diodes with good ideality factors (1.1) overarange of junction depths (50nm Xj 200 nm) have been fabricated by GILD. This process uses a pulsed XeCI excimer laserincident on a silicon surface saturated with B2H6.Dopant profiles as a function of laser energy and number of pulses aredetermined using Secondary Ion Mass Spectrometry(SIMS). For low energy or a large number of pulses, comparison with computer modelling suggests the junction is determined by melt depth. For higher laser energy and few pulses, liquid phase diffusion limits the depth of dopant incorporation.Leakage current measurements as a function of diode perimeter to area (P/A) ratio and Deep Level Transient Spectroscopy (DLTS) suggest that leakage occurs along the diode perimeter, and is dueto point defects generated from thermal stresses during melt regrowth. Diodes show good I-V characteristics after GILD alone, yet subsequent rapid thermal annealingisfound to further reduce leakage currents, probably due to relief of thermal stresses. Sheet carrier densities from Halleffect measurements show that 5 - 10% of the boron is activated, with doping levels exceeding 1020 cm−3 in some samples. Transmission Electron Microscopy (TEM) demonstrates that reasonable crystalline quality is maintained for moderate GILD conditions with a defect density at the surface of approximately 108 cm−2 .For higher laser energy with boron incorporation exceeding solid solubility, TEM shows stacking faults along <110>directions. Electron diffraction on highly doped samples shows extra spots indicating a high degree of strain in the doped layer.


1987 ◽  
Vol 115 ◽  
Author(s):  
Eric M. Fiore ◽  
Rodney A. Herring

ABSTRACTWe describe a technique for preparing transmission electron microscope (TEM) cross-sectional specimens for observation during in situ annealing to high temperatures. The process utilizes a ceramic adhesive that is stable to a temperature of 1650°C. The technique, which was successfully used to observe the recrystallization of amorphized silicon, is being applied to high-energy ion-implanted silicon in an attempt to better understand the amorphous-to-crystalline phase transformation and defect formation mechanisms resulting from thermal processing.


Sign in / Sign up

Export Citation Format

Share Document