PULSED LASER ANNEALING OF ULTRA-SHALLOW JUNCTIONS IN SILICON–GERMANIUM

2010 ◽  
Vol 09 (04) ◽  
pp. 341-344
Author(s):  
L. S. TAN ◽  
J. Y. TAN ◽  
A. BEGUM ◽  
M. H. HONG ◽  
A. Y. DU ◽  
...  

The effect of laser energy fluence and substrate heating on the annealing of boron-implanted silicon–germanium epitaxial layers on silicon was investigated. By making use of the difference in the melting points of silicon–germanium and silicon, a process window in the laser energy fluence can be found such that the meltdepth was confined within the silicon–germanium. Pre-heating of the substrate to 300°C was done to reduce the laser fluence required and improve the surface morphology. Cross-sectional transmission electron microscopy showed that there were no end-of-range defects due to ion implantation at the silicon–germanium/silicon interface after the laser annealing.

2002 ◽  
Vol 717 ◽  
Author(s):  
Erik Kuryliw ◽  
Kevin S. Jones ◽  
David Sing ◽  
Michael J. Rendon ◽  
Somit Talwar

AbstractLaser Thermal Processing (LTP) involves laser melting of an implantation induced preamorphized layer to form highly doped ultra shallow junctions in silicon. In theory, a large number of interstitials remain in the end of range (EOR) just below the laser-formed junction. There is also the possibility of quenching in point defects during the liquid phase epitaxial regrowth of the melt region. Since post processing anneals are inevitable, it is necessary to understand both the behavior of these interstitials and the nature of point defects in the recrystallized-melt region since they can directly affect deactivation and enhanced diffusion. In this study, an amorphizing 15 keV 1 x 1015/cm2 Si+ implant was done followed by a 1 keV 1 x 1014/cm2 B+ implant. The surface was then laser melted at energy densities between 0.74 and 0.9 J/cm2 using a 308 nm excimer-laser. It was found that laser energy densities above 0.81 J/cm2 melted past the amorphous-crystalline interface. Post-LTP furnace anneals were performed at 750°C for 2 and 4 hours. Transmission electron microscopy was used to analyze the defect formation after LTP and following furnace anneals. Secondary ion mass spectrometry measured the initial and final boron profiles. It was observed that increasing the laser energy density led to increased dislocation loop formation and increased diffusion after the furnace anneal. A maximum loop density and diffusion was observed at the end of the process window, suggesting a correlation between the crystallization defects and the interstitial evolution.


2001 ◽  
Vol 669 ◽  
Author(s):  
Susan Earles ◽  
Mark Law ◽  
Kevin Jones ◽  
Somit Talwar ◽  
Sean Corcoran

ABSTRACTHeavily-doped, ultra-shallow junctions in boron implanted silicon using pulsed laser annealing have been created. Laser energy in the nonmelt regime has been supplied to the silicon surface at a ramp rategreater than 1010°C/sec. This rapid ramp rate will help decrease dopant diffusion while supplying enough energy to the surface to produce dopant activation. High-dose, non-amorphizing 1 keV, 1e15 ions/cm2 boron is used. Four-point probe measurements (FPP) show a drop in sheet resistance withnonmelt laser annealing (NLA) alone. Transmission electron microscopy (TEM) shows the NLA dramatically affects the defect nucleation resulting in fewer defects with post annealing. Hall mobility and secondary ion mass spectroscopy (SIMS) results are also shown.


2002 ◽  
Vol 717 ◽  
Author(s):  
T. Noguchi ◽  
G. Kerrien ◽  
T. Sarnet ◽  
D. Débarre ◽  
J. Boulmer ◽  
...  

AbstractSingle-shot Excimer Laser Annealing (ELA) was performed onto Si surface that was previously B+ implanted with or without Ge+ pre-amorphization. As a result, p+ type USJ (Ultra-Shallow Junction) has been formed. In process analysis, using Infrared Spectroscopic Ellipsometry (IR-SE) has been performed and compared with conventional 4-point probe method. Also, the corresponding crystallinity for the USJ of Si surface has been studied using Ultraviolet-Visible (UV-Vis) Spectroscopic Ellipsometry. In the case of pre-amorphization by Ge+ implantation, the laser energy density threshold required for melting the surface, and therefore for electrical activation, decreased drastically because of the difference in the thermodynamic properties of the amorphized Si. Estimation of the junction depth shows a shallower junction when using UV-SE, as compared to IR-SE. This can be explained by the fact that, in the UV range, the crystallinity of the top layer is predominant while IR-SE is more sensitive to dopant activation. This efficient single-shot ELA is a candidate for the USJ formation for sub-0.1 νm CMOS transistors. The effective method for investigating the activation state related to the crystallinity by using UV-SE and IR-SE is expected to apply as a non-contact analytical tool for USJ formation.


2002 ◽  
Vol 717 ◽  
Author(s):  
K. A. Gable ◽  
K. S. Jones ◽  
M. E. Law ◽  
L. S. Robertson ◽  
S. Talwar

AbstractOne alternative to conventional rapid thermal annealing (RTA) of implants for ultra-shallow junction formation is that of laser annealing. Laser thermal processing (LTP) incorporates an excimer pulsed laser capable of melting the near surface region of the silicon (Si) substrate. The melt depth is dependent upon the energy density supplied by the irradiation source and the melting temperature of the substrate surface. A process window associated with this technique is able to produce similar junction depths over a range of energy densities due to the melting temperature depression established with pre-amorphization of the substrate surface prior to dopant incorporation. The process window of germanium (Ge) preamorphized, boron (B) doped Si was investigated. 200 mm (100) n-type Si wafers were preamorphized via 18 keV Ge+ implantation to 1x1015/cm2 and subsequently implanted with 1 keV B+ to doses of 1x1015/cm2, 3x1015/cm2, 6x1015/cm2, and 9x1015/cm2. The wafers were laser annealed from 0.50 J/cm2 to 0.88 J/cm2 using a 308 nm XeCl excimer irradiation source. Transmission electron microscopy (TEM) was used to determine the process window for each implant condition, and correlations between process window translation and impurity concentration were made. Four-point probe quantified dopant activation and subsequent deactivation upon post-LTP furnace annealing.


1995 ◽  
Vol 397 ◽  
Author(s):  
A. J. Pedraza ◽  
S. Cao ◽  
D. H. Lowndes ◽  
L. F. Allard

ABSTRACTThin films of gold, copper and iron deposited on silica were driven into the substrate by a laser pulse. This transport takes place only when the irradiation is performed at a laser energy density of 0.7 J/cm2 or lower. Cross sectional transmission electron microscopy (TEM) of the irradiated specimens reveals two distinctive stages in the encapsulation process. In the first, the film melts and clusters into small particles and in the second one the particles are driven into the substrate by the laser pulse. The particle size of encapsulated metal varies from 5 to 50 nm. Selected area diffraction of the large particles and lattice fringe images of the smaller particles reveal pure metals, e.g., gold, copper or iron. Titanium films laser irradiated are not encapsulated in silica; instead, these films react with silica forming an amorphous compound. Apparently, one of the conditions required for encapsulation is that the metal should not react with the substrate material. On subsequent irradiation at a laser energy density of 1.5 J/cm2, ablation of silica partially exposes the metallic particles. Strong bonding between a new film deposited after irradiation and the substrate is obtained because these particles anchor the freshly deposited film. Anchoring is clearly revealed by cross sectional TEM. The mechanisms of encapsulation are discussed using results from TEM and adhesion testing.


2003 ◽  
Vol 765 ◽  
Author(s):  
G. Fortunato ◽  
L. Mariucci ◽  
V. Privitera ◽  
A. La Magna ◽  
S. Whelan ◽  
...  

AbstractFormation of ultra-shallow junctions by excimer laser annealing (ELA) of ultra-low energy (1keV –250 eV) B implanted in Si has been investigated. High resolution TEM has been used to assess the as-implanted damage and the crystal recovery following ELA. The electrical activation and redistribution of B in Si during ELA has been studied as a function of the laser energy density (melt depth), the implant dose and the number of laser pulses (melt duration). Under appropriate ELA conditions, ultra-shallow profiles, extending to a depth as low as 35 nm with an abrupt profile (2.5 nm/dec), have been achieved. A significant amount of the implanted dopant was lost from the sample following ELA. However, the dopant that was retained in crystal material was fully activated following rapid re-solidification. We developed a theoretical model, that considers the dopant redistribution during melting and regrowth, showing that the fraction of the implanted dopant not activated during ELA was lost from the sample through out diffusion. The lateral distribution of the implanted B following laser annealing has been studied with 2-D measurements, using selective etching and cross-section TEM on samples where the implanted dopant was confined by using test structures including windows opened in silicon dioxide masks and patterned gate stack structures.


2020 ◽  
Vol 1004 ◽  
pp. 421-426
Author(s):  
Hideki Sako ◽  
Kentaro Ohira ◽  
Kenji Kobayashi ◽  
Toshiyuki Isshiki

Two types of carrot defects with and without a shallow pit were found by mirror projection electron microscopy (MPJ) inspection in 4H-SiC epi wafer. Surface morphology and cross-sectional structure of prismatic stacking faults (PSFs) were investigated using MPJ and atomic force microscopy (AFM), transmission electron microscopy (TEM) and high-resolution scanning transmission electron microscopy (STEM). The depths of the surface grooves due to the PSFs, the stacking sequences around the PSFs and the structure of the Frank-type stacking faults which were connected to the PSFs were different. We discuss the difference between the two types of carrot defects.


2011 ◽  
Vol 679-680 ◽  
pp. 342-345 ◽  
Author(s):  
Takuji Hosoi ◽  
Kohei Konzono ◽  
Yusuke Uenishi ◽  
Shuhei Mitani ◽  
Yuki Nakano ◽  
...  

Surface and interface morphology of thermal oxides grown on 4-off (0001) oriented 4H-SiC substrates by dry O2 oxidation was investigated using atomic force microscopy (AFM) and transmission electron microscopy (TEM). When step bunching was present on a starting wafer, oxide surface roughness was much larger than that of the starting 4H-SiC surface. This is attributed to the difference in oxidation rate between the terrace and the step face. A step-terrace structure on 4H-SiC(0001) was mostly preserved on the oxide surface, but pronounced oxidation occurred around the step bunching. Cross-sectional TEM observation showed that the SiO2/4H-SiC interface became smoother than the initial surface and the thickness of the SiO2 layer fluctuated. Such SiO2 thickness fluctuation may cause a local electric field concentration when a voltage was applied to the oxide, thus degrading the dielectric breakdown characteristics of 4H-SiC metal-oxide-semiconductor (MOS) devices.


1980 ◽  
Vol 2 ◽  
Author(s):  
John Fletcher ◽  
J. Narayan ◽  
D. H. Lowndes

ABSTRACTThe nature and depth distributions of residual damage in ion implanted and pulsed ruby laser annealed GaAs have been studied using both plan-view and cross-section transmission electron microscopy (TEM) specimens for high dose (1.0 × 1015 cm−2) Zn+, Se+ and Mg+ implants. It was found that laser energy densities above 0.36 J/cm2 were required to remove the implantation damage, this threshold energy density giving good agreement with that indicated by electrical activation measurements. Laser induced surface degradation of the GaAs was present even for energy densities as low as 0.25 J/cm2, and more severe damage, with the introduction of dislocations near the surface, was present for energy densities above 0.8 J/cm2. The use of thin SiO2 layers for encapsulation during laser annealing was found to substantially reduce this surface degradation.


Author(s):  
Jian-Chang Lin ◽  
Wen-Sheng Wu

Abstract A scanning electron microscopy (SEM) based nano-probing system is used in this study to clarify nickel silicide phase beyond process window. According to the nano-probing measurement result and the cross-sectional transmission electron microscopy (TEM) images, phenomena of junction leakage along with high resistance and a larger nickel silicide area are observed at failure site at the same time. The type of failure mechanism and in-line process issue caused multiple failure phenomena at failure site will be the major focuses in this paper. Nickel silicide phase transformation from NiSi to NiSi2 is highly suspected by the comparison of sheet resistance and silicon consumption. Consequently, nickel silicide beyond process window could be verified immediately.


Sign in / Sign up

Export Citation Format

Share Document