Fabrication of SiC Nanopillars by Inductively Coupled SF6/O2 Plasma

2012 ◽  
Vol 711 ◽  
pp. 66-69 ◽  
Author(s):  
Ji Hoon Choi ◽  
Laurence Latu-Romain ◽  
Florian Dhalluin ◽  
Thierry Chevolleau ◽  
Bassem Salem ◽  
...  

A top-down fabrication technique for nanometer scale silicon carbide (SiC) pillars has been demonstrated by using inductively coupled SF6/O2 plasma etching. At optimal etching conditions, the obtained SiC nanopillars exhibit high anisotropy features (aspect ratio ~ 6.5) with high etch depth (>7 μm). The etch characteristics of SiC nanopillars under these conditions show a high etch rate (550 nm/min) and a high selectivity (over 60 for Ni).

2012 ◽  
Vol 717-720 ◽  
pp. 893-896 ◽  
Author(s):  
J.H. Choi ◽  
Laurence Latu-Romain ◽  
Thierry Baron ◽  
Thierry Chevolleau ◽  
Edwige Bano

We demonstrate a top-down fabrication technique for nanometer scale silicon carbide (SiC) pillars by using inductively coupled SF6/O2 plasma etching. The obtained SiC nanopillars exhibit high anisotropy features (aspect ratio ~ 6.5) with high etch depth (>7 μm). The etch characteristics of SiC nanopillars obtained under these conditions show a high etch rate (550 nm/min) and a high selectivity (over 60 for Ni mask). We obtained hexagonal symmetry of SiC nanopillar, which might be attributed to the crystallographic structure of the SiC phase.


2013 ◽  
Vol 740-742 ◽  
pp. 825-828 ◽  
Author(s):  
Jerome Biscarrat ◽  
Jean François Michaud ◽  
Emmanuel Collard ◽  
Daniel Alquier

Due to its inert chemical nature, plasma etching is the most effective technique to pattern SiC. In this paper, dry etching of 4H-SiC substrate in Inductively Coupled Plasma (ICP) has been studied in order to evaluate the impact of process parameters on the characteristics of etching such as etch rate and trenching effect. Key process parameters such as platen power and ICP coil power prove to be essential to control the SiC etch rate. On the other hand, the ICP coil power and the working pressure mainly master the trenching effect. Our results enlighten that high etch rate with minimal trenching effect can be obtained using high ICP coil power and low working pressure.


2008 ◽  
Vol 1108 ◽  
Author(s):  
Xiaoyan Xu ◽  
Vladimir Kuryatkov ◽  
Boris Borisov ◽  
Mahesh Pandikunta ◽  
Sergey A Nikishin ◽  
...  

AbstractThe effect of BCl3 and BCl3/Ar pretreatment on Cl2/Ar and Cl2/Ar/BCl3 dry etching of AlN is investigated using inductively coupled plasma reactive ion etching. The native AlN oxide can be effectively removed by a short exposure to BCl3 or BCl3/Ar plasma. Compared to the chlorine based plasma etching, BCl3/Ar is found to have the highest etch rate for both AlN and its native oxide. Following removal of the native oxide, Cl2/Ar/BCl3 plasma etching with 15% BCl3 fraction results in a high etch rate ˜ 87 nm/min and modest increases in the surface roughness.


2013 ◽  
Vol 721 ◽  
pp. 346-349
Author(s):  
Zhi Qin Zhong ◽  
Cheng Tao Yang ◽  
Guo Jun Zhang ◽  
Shu Ya Wang ◽  
Li Ping Dai

Dry etching of Pt/Ti film was carried out using Cl2/Ar plasmas in an inductively coupled plasma (ICP) reactor. The influence of the various process parameters, such as RIE power, ICP power and Cl2/Ar gas mixing ratio, on the etch rate and selectivity of photoresist to Pt/Ti film were investigated systematically and optimized. It was revealed that the etch rate and the selectivity strongly depended on the key process parameters. The etch rate was found to increase dramatically with increasing of RIE power and ICP power. But by changing the ratio of Cl2 to the total gas, the maximum etch rate could be obtained at the proper ratio of 20%. The results also indicated too low or too high RIE power and the Cl2 ratio was detrimental to the selectivity. The optimized parameters of Pt/Ti dry etching for high etch rate and low selectivity of photoresist to Pt/Ti were obtained to be pressure: 10mT, RF power: 250W, ICP power: 0W, Cl2: 8sccm (standard cubic centimeters per minute), Ar: 32sccm.


Micromachines ◽  
2020 ◽  
Vol 11 (7) ◽  
pp. 638
Author(s):  
Lihao Wang ◽  
Meijie Liu ◽  
Junyuan Zhao ◽  
Jicong Zhao ◽  
Yinfang Zhu ◽  
...  

This work reports a batch fabrication process for silicon nanometer tip based on isotropic inductively coupled plasma (ICP) etching technology. The silicon tips with nanometer apex and small surface roughness are produced at wafer-level with good etching homogeneity and repeatability. An ICP etching routine is developed to make silicon tips with apex radius less than 5 nm, aspect ratio greater than 5 at a tip height of 200 nm, and tip height more than 10 μm, and high fabrication yield is achieved by mask compensation and precisely controlling lateral etch depth, which is significant for large-scale manufacturing.


2001 ◽  
Vol 45 (9) ◽  
pp. 1683-1686 ◽  
Author(s):  
J.W. Lee ◽  
M.H. Jeon ◽  
M. Devre ◽  
K.D. Mackenzie ◽  
D. Johnson ◽  
...  

1998 ◽  
Vol 546 ◽  
Author(s):  
J. Hopkins ◽  
H. Ashraf ◽  
J. K. Bhardwaj ◽  
A. M. Hynes ◽  
I. Johnston ◽  
...  

AbstractIn the ongoing enhancement of MEMS applications, the STS Advanced Silicon Etch, (ASETM). process satisfies the demanding requirements of the industry. Typically, highly anisotropic. high aspect ratios profiles with fine CD (critical dimension) control are required. Selectivities to photoresist of 150:1 with Si etch rates of up to 10μm/min are demonstrated. Applications range from shallow etched optical devices to through wafer membrane etches. This paper details some of the fundamental trends of the ASETM process and goes on to discuss how the process has been enhanced to meet product specifications. Parameter ramping is a powerful technique used to achieve the often-conflicting requirements of high etch rate with good profile/CD control. The results are presented in this paper.


Sign in / Sign up

Export Citation Format

Share Document