etch rate
Recently Published Documents


TOTAL DOCUMENTS

708
(FIVE YEARS 44)

H-INDEX

28
(FIVE YEARS 2)

2021 ◽  
Author(s):  
Won Oh Lee ◽  
Ki Hyun Kim ◽  
Doo San Kim ◽  
You Jin Ji ◽  
Ji Eun Kang ◽  
...  

Abstract Precise and selective removal of silicon nitride in a SiNx/SiOy stack is crucial for a current 3D-NAND (not and) fabrication process. In this study, fast and ultra-high selective isotropic etching of SiNx have been studied using a ClF3/H2 remote plasma in an inductively coupled plasma system and a mechanism of SiNx etching was investigated by focusing on the role of Cl, F, and H radicals in the plasma. The SiNx etch rate over 800 Å/min with the etch selectivity of ~130 could be observed under a ClF3 remote plasma at a room temperature. Furthermore, compromising the etch rate of SiNx by adding H2 to the ClF3 plasma, the etch selectivity of SiNx over SiOy close to ~ 200 could be obtained. The etch characteristics of SiNx and SiOy with increasing the process temperature demonstrated the higher activation energy of SiOy compared to that of SiNx with ClF3 plasma.


Coatings ◽  
2021 ◽  
Vol 11 (12) ◽  
pp. 1535
Author(s):  
Shih-Nan Hsiao ◽  
Thi-Thuy-Nga Nguyen ◽  
Takayoshi Tsutsumi ◽  
Kenji Ishikawa ◽  
Makoto Sekine ◽  
...  

With the increasing interest in dry etching of silicon nitride, utilization of hydrogen-contained fluorocarbon plasma has become one of the most important processes in manufacturing advanced semiconductor devices. The correlation between hydrogen-contained molecules from the plasmas and hydrogen atoms inside the SiN plays a crucial role in etching behavior. In this work, the influences of plasmas (CF4/D2 and CF4/H2) and substrate temperature (Ts, from −20 to 50 °C) on etch rates (ERs) of the PECVD SiN films were investigated. The etch rate performed by CF4/D2 plasma was higher than one obtained by CF4/H2 plasma at substrate temperature of 20 °C and higher. The optical emission spectra showed that the intensities of the fluorocarbon (FC), F, and Balmer emissions were stronger in the CF4/D2 plasma in comparison with CF4/H2. From X-ray photoelectron spectra, a thinner FC layer with a lower F/C ratio was found in the surface of the sample etched by the CF4/H2 plasma. The plasma density, gas phase concentration and FC thickness were not responsible for the higher etch rate in the CF4/D2 plasma. The abstraction of H inside the SiN films by deuterium and, in turn, hydrogen dissociation from Si or N molecules, supported by the results of in situ monitoring of surface structure using attenuated total reflectance-Fourier transform infrared spectroscopy, resulted in the enhanced ER in the CF4/D2 plasma case. The findings imply that the hydrogen dissociation plays an important role in the etching of PECVD-prepared SiN films when the hydrogen concentration of SiN is higher. For the films etched with the CF4/H2 at −20 °C, the increase in ER was attributed to a thinner FC layer and surface reactions. On the contrary, in the CF4/D2 case the dependence of ER on substrate temperature was the consequence of the factors which include the FC layer thickness (diffusion length) and the atomic mobility of the etchants (thermal activation reaction).


2021 ◽  
Vol 2086 (1) ◽  
pp. 012190
Author(s):  
V Kuzmenko ◽  
A Miakonkikh ◽  
K Rudenko

Abstract The paper presents the study of cyclic process of deep anisotropic silicon etching, called Oxi-Etch, in which the steps of etching and oxidation alternate, allowing deep etching of silicon with an anisotropic profile. This process forms typical for cyclic etching process sidewall profile called scalloping. Opportunities for modification and optimization of the process for specific application were investigated. The effects of optimization of the bias voltage and the duration of the etching step on the parameters of the resulting structures, such as the etching depth, wall roughness, and the accuracy of transferring the lithographic size, are considered. Balance between etch rate and scalloping was established.


2021 ◽  
Author(s):  
Rita Mullins ◽  
Jose Julio Gutiérrez Moreno ◽  
Michael Nolan

HfO2 is a high-k material that is used in semiconductor devices. Atomic-level control of material processing is required for the fabrication of thin films of high-k materials at nanoscale device sizes. Thermal atomic layer etching (ALE) of metal oxides, in which up to one monolayer of material can be removed, can be achieved by sequential self-limiting (SL) fluorination and ligand-exchange reactions at elevated temperatures. First-principles based atomic-level simulations using density functional theory (DFT) can give deep insights into the precursor chemistry and the reactions that drive the etch of metal oxides. A previous study examined the hydrogen fluoride (HF) pulse in the first step in the thermal ALE process of crystalline HfO2 and ZrO2. This study examines the HF pulse on amorphous HfO2 using first-principles simulations. The Natarajan-Elliott analysis, a thermodynamic methodology is used to compare reaction models representing the self-limiting and spontaneous etch processes taking place during an ALE pulse. For the HF pulse on amorphous HfO2, we found that thermodynamic barriers impeding spontaneous etching are present at ALE relevant temperatures. HF adsorption calculations on the amorphous oxide surface is studied to understand the mechanistic details of the HF pulse. A HF molecule adsorbs dissociatively by forming Hf-F and O-H bonds. HF coverages ranging from 1.1 ± 0.3 to 18.0 ± 0.3 HF/nm2 are investigated and a mixture of molecularly and dissociatively adsorbed HF molecules is present at higher coverages. A theoretical etch rate of -0.82 ± 0.02 Å/cycle for amorphous HfO2 was calculated using a maximum coverage of 9.0 ± 0.3 Hf-F/nm2. This theoretical etch rate is greater than the theoretical etch rate for crystalline HfO2 that we previously calculated at -0.61 ± 0.02 Å/cycle. Undercoordinated atoms and void regions in amorphous HfO2 allows for more binding sites during fluorination whereas crystalline HfO2 has a limited number of adsorption sites.


2021 ◽  
Vol 39 (5) ◽  
pp. 053002
Author(s):  
Clint D. Frye ◽  
Scott B. Donald ◽  
Catherine Reinhardt ◽  
Lars F. Voss ◽  
Sara E. Harrison

Coatings ◽  
2021 ◽  
Vol 11 (8) ◽  
pp. 958
Author(s):  
Seungryul Yoo ◽  
Dong Chan Seok ◽  
Kang Il Lee ◽  
Yong Ho Jung ◽  
Yong Sup Choi

SiC wafers were etched using a filament plasma of He:NF3:O2 (helium:nitrogen trifluoride:oxygen) mixed gas at atmospheric pressure. When 0.5–2 sccm of NF3 was mixed to 2 slm of He filament plasma, the etch depth and etch rate increased, but there was little change in the etch width as the NF3 mixing amount increased. The increment of the NF3 mixing also suppressed the surface roughening of plasma etching. The addition of O2 to the He-NF3 filament plasma slightly increased the SiC wafer etch rate. When the NF3 mixing amount was 2 sccm, the roughness of the etched surface increased sharply by O2 addition. On the contrary, the NF3 mixing amount was 1 sccm; the addition of O2 reduced the roughness more than that of the pristine. The roughness of the pristine SiC wafer specimens is in the range of Ra 0.7–0.8 nm. After 30 min of etching on a 6 mm by 6 mm square area, the roughness of the etched surface reduced to Ra 0.587 nm, while the etch rate was 2.74 μm/h with a He:NF3:O2 of 2:1:3 (slm:sccm:sccm) filament plasma and 3 mm/s speed of raster scan etch of the optimized roughening suppression etching recipe.


Electronics ◽  
2021 ◽  
Vol 10 (12) ◽  
pp. 1374
Author(s):  
Zheng Jiang ◽  
Hao Zhu ◽  
Qingqing Sun

Amorphous carbon hard mask (ACHM) films are widely used as etching hard masks in 3D-NAND flash memory, which has put forward higher requirements in the film deposition rate, film transparency, uniformity, and selective etching. In this work, the ACHM film processing is engineered and optimized by comparatively studying acetylene (C2H2) and propylene (C3H6) as carbon sources at the different temperatures of 300 °C, 350 °C and 400 °C. By increasing the deposition temperature, the deposition rate, non-uniformity, and dry etch rate of ACHM are improved at the penalty of a slightly increased extinction coefficient of the film, due to lower incorporation of hydrocarbon reactants absorbed into film at higher temperatures. However, the Fourier transformation infrared (FTIR) spectrum intensity is decreased with the increase of the deposition temperature. The lower dry etch rate of ACHM is achieved by using C3H6 as a carbon source deposited at 400 °C. The best dry etch selective ratio values are also achieved with 10.9 and 9.5 for SiO2 and SiN, respectively. These experimental results can be very promising in the advancement of etching process in 3D-NAND applications.


Materials ◽  
2021 ◽  
Vol 14 (11) ◽  
pp. 2941
Author(s):  
Jie Li ◽  
Yongjae Kim ◽  
Seunghun Han ◽  
Heeyeop Chae

The sp2-rich hydrogenated amorphous carbon (a-C:H) is widely adopted as hard masks in semiconductor-device fabrication processes. The ion-enhanced etch characteristics of sp2-rich a-C:H films on ion density and ion energy were investigated in CF4 plasmas and O2 plasmas in this work. The etch rate of sp2-rich a-C:H films in O2 plasmas increased linearly with ion density when no bias power was applied, while the fluorocarbon deposition was observed in CF4 plasmas instead of etching without bias power. The etch rate was found to be dependent on the half-order curve of ion energy in both CF4 plasmas and O2 plasmas when bias power was applied. An ion-enhanced etching model was suggested to fit the etch rates of a-C:H in CF4 plasmas and O2 plasmas. Then, the etch yield and the threshold energy for etching were determined based on this model from experimental etch rates in CF4 plasma and O2 plasma. The etch yield of 3.45 was observed in CF4 plasmas, while 12.3 was obtained in O2 plasmas, owing to the high reactivity of O radicals with carbon atoms. The threshold energy of 12 eV for a-C:H etching was obtained in O2 plasmas, while the high threshold energy of 156 eV was observed in CF4 plasmas. This high threshold energy is attributed to the formation of a fluorocarbon layer that protects the a-C:H films from ion-enhanced etching.


Sign in / Sign up

Export Citation Format

Share Document