The Optimization of the Cleaning to Remove Residual Bonds of Si-C and Si-F after Fluorocarbon Plasma Etch on the Silicon Surface

1998 ◽  
Vol 65-66 ◽  
pp. 291-0 ◽  
Author(s):  
Y.B. Kim ◽  
Mikhail R. Baklanov ◽  
Thierry Conard ◽  
Serge Vanhaelemeersch ◽  
W. Vandervorst
2014 ◽  
Vol 219 ◽  
pp. 201-204 ◽  
Author(s):  
Els Kesters ◽  
Q.T. Le ◽  
D. Yu ◽  
M. Shen ◽  
S. Braun ◽  
...  

A possible way to realize a 22.5 nm 1⁄2 pitch and beyond BEOL interconnect structures within the low-kmaterial is the partial-trench via first with self-aligned double patterning (SADP) integration approach. A scheme of this BEOL integration stack with the different materials used after patterning is described in Figure 1. In BEOL processing, fluorocarbon-containing plasma is commonly used to pattern silica-based dielectric layers. During the patterning of the low-kdielectric layer, a thin layer of fluoropolymer (CFx-type residues) is intentionally deposited on the dielectric sidewalls and TiN hardmask to ensure anisotropic etching and prevent/minimize dielectric degradation. This polymer layer must be removed from the sidewall and the via bottom prior to the subsequent processing steps to achieve good adhesion and coverage of materials deposited in the etched features. The compatibility requirement is even more stringent for advanced low-kdielectrics, i.e. materials with lowerk-value and higher porosity. The post etch residue (PER) amount and properties are specific and depend on the stack structure and the plasma that is used for patterning. The low-kmaterials and hardmasks that are used in this work are respectively an organo-silicate glass (OSG) type of low-kmaterial withk= 2.4 (~20 % open porosity) and low-stress TiN. Recent results clearly showed the presence of a highly fluorinated layer deposited on the trench sidewalls during the plasma etch based on a fluorocarbon plasma [1-3]. Commodity aqueous cleaning solutions, such as diluted HF, do not efficiently remove the sidewall polymers without etching the underlying layer (lift-off). Therefore, there is a need for commercially available chemicals that can be easily tuned to deal with the different requirements. This study focuses on the use of FOTOPUR® R 2300 mixed with H2O2 for polymer residue removal selectively to other materials (presented in the stack) such as MHM, metals (Cu, W), and porous low-k dielectrics. We will show that TiN etch can be easily tuned by changing the concentration of H2O2.


Author(s):  
T. Sato ◽  
S. Kitamura ◽  
T. Sueyoshl ◽  
M. Iwatukl ◽  
C. Nielsen

Recently, the growth process and relaxation process of crystalline structures were studied by observing a SI nano-pyramid which was built on a Si surface with a UHV-STM. A UHV-STM (JEOL JSTM-4000×V) was used for studying a heated specimen, and the specimen was kept at high temperature during observation. In this study, the nano-fabrication technique utilizing the electromigration effect between the STM tip and the specimen was applied. We observed Si atoms migrated towords the tip on a high temperature Si surface.Clean surfaces of Si(lll)7×7 and Si(001)2×l were prepared In the UHV-STM at a temperature of approximately 600 °C. A Si nano-pyramid was built on the Si surface at a tunneling current of l0nA and a specimen bias voltage of approximately 0V in both polarities. During the formation of the pyramid, Images could not be observed because the tip was stopped on the sample. After the formation was completed, the pyramid Image was observed with the same tip. After Imaging was started again, the relaxation process of the pyramid started due to thermal effect.


Author(s):  
O.L. Krivanek ◽  
G.J. Wood

Electron microscopy at 0.2nm point-to-point resolution, 10-10 torr specimei region vacuum and facilities for in-situ specimen cleaning presents intere; ing possibilities for surface structure determination. Three methods for examining the surfaces are available: reflection (REM), transmission (TEM) and profile imaging. Profile imaging is particularly useful because it giv good resolution perpendicular as well as parallel to the surface, and can therefore be used to determine the relationship between the surface and the bulk structure.


1983 ◽  
Vol 44 (2) ◽  
pp. 257-261 ◽  
Author(s):  
B.K. Chakraverty
Keyword(s):  

Author(s):  
U. Kerst ◽  
P. Sadewater ◽  
R. Schlangen ◽  
C. Boit ◽  
R. Leihkauf ◽  
...  

Abstract The feasibility of low-ohmic FIB contacts to silicon with a localized silicidation was presented at ISTFA 2004 [1]. We have systematically explored options in contacting diffusions with FIB metal depositions directly. A demonstration of a 200nm x 200nm contact on source/drain diffusion level is given. The remaining article focuses on the properties of FIB deposited contacts on differently doped n-type Silicon. After the ion beam assisted platinum deposition a silicide was formed using a forming current in two configurations. The electrical properties of the contacts are compared to furnace anneal standards. Parameters of Schottky-barriers and thermal effects of the formation current are studied with numerical simulation. TEM images and material analysis of the low ohmic contacts show a Pt-silicide formed on a silicon surface with no visible defects. The findings indicate which process parameters need a more detailed investigation in order to establish values for a practical process.


2020 ◽  
Vol 12 (3) ◽  
pp. 03024-1-03024-4
Author(s):  
L. V. Poperenko ◽  
◽  
S. G. Rozouvan ◽  
I. V. Yurgelevych ◽  
P. O. Lishchuk ◽  
...  

Sign in / Sign up

Export Citation Format

Share Document