Surfactants as an Additive to Wet Cleaning Solutions for Plasma Etch Residue Removal: Compatibility to a Porous CVD-SiCOH Ultra Low-k Dielectric Material

2019 ◽  
Vol 25 (5) ◽  
pp. 87-94 ◽  
Author(s):  
Nicole Ahner ◽  
Stefan E. Schulz ◽  
Manuela Zacher
2014 ◽  
Vol 219 ◽  
pp. 201-204 ◽  
Author(s):  
Els Kesters ◽  
Q.T. Le ◽  
D. Yu ◽  
M. Shen ◽  
S. Braun ◽  
...  

A possible way to realize a 22.5 nm 1⁄2 pitch and beyond BEOL interconnect structures within the low-kmaterial is the partial-trench via first with self-aligned double patterning (SADP) integration approach. A scheme of this BEOL integration stack with the different materials used after patterning is described in Figure 1. In BEOL processing, fluorocarbon-containing plasma is commonly used to pattern silica-based dielectric layers. During the patterning of the low-kdielectric layer, a thin layer of fluoropolymer (CFx-type residues) is intentionally deposited on the dielectric sidewalls and TiN hardmask to ensure anisotropic etching and prevent/minimize dielectric degradation. This polymer layer must be removed from the sidewall and the via bottom prior to the subsequent processing steps to achieve good adhesion and coverage of materials deposited in the etched features. The compatibility requirement is even more stringent for advanced low-kdielectrics, i.e. materials with lowerk-value and higher porosity. The post etch residue (PER) amount and properties are specific and depend on the stack structure and the plasma that is used for patterning. The low-kmaterials and hardmasks that are used in this work are respectively an organo-silicate glass (OSG) type of low-kmaterial withk= 2.4 (~20 % open porosity) and low-stress TiN. Recent results clearly showed the presence of a highly fluorinated layer deposited on the trench sidewalls during the plasma etch based on a fluorocarbon plasma [1-3]. Commodity aqueous cleaning solutions, such as diluted HF, do not efficiently remove the sidewall polymers without etching the underlying layer (lift-off). Therefore, there is a need for commercially available chemicals that can be easily tuned to deal with the different requirements. This study focuses on the use of FOTOPUR® R 2300 mixed with H2O2 for polymer residue removal selectively to other materials (presented in the stack) such as MHM, metals (Cu, W), and porous low-k dielectrics. We will show that TiN etch can be easily tuned by changing the concentration of H2O2.


2012 ◽  
Vol 187 ◽  
pp. 201-205 ◽  
Author(s):  
Nicole Ahner ◽  
Sven Zimmermann ◽  
Matthias Schaller ◽  
Stefan E. Schulz

Wet chemical plasma etch residue removal is a promising alternative to low-k dielectric degrading plasma cleaning processes. With decreasing feature dimensions the wetting behavior of the liquid on low energetic surfaces present after dielectric patterning will be an important issue in developing wet cleaning solutions. High surface energy liquids may not only be unable to wet low energetic surfaces, but can also cause nonwetting of small structures or pattern collapse. The improvement of the wetting behavior of a cleaning liquid by lowering its surface energy by the addition of surfactants is the strategy followed in this study. We show that with choosing the appropriate rinsing solution a wet chemical process using surfactant aided cleaning solutions compatible to the materials used in BEOL (porous low-k, copper, barriers) can be found. The results show a distinct improvement of the wetting behavior of the modified solutions on several low energetic solid surfaces like copper or polymers deposited during dry etching.


2012 ◽  
Vol 195 ◽  
pp. 110-113
Author(s):  
Nicole Ahner ◽  
Sven Zimmermann ◽  
Matthias Schaller ◽  
Stefan E. Schulz

The integration of porous ultra low dielectric constant materials (ULK) for isolation within the interconnect system of integrated circuits is a promising approach to reduce RC-delays and crosstalk due to shrinking feature sizes [1]. Actually the focus is on porous CVD-SiCOH materials, which consist of a Si-O-Si backbone and organic species (e.g. CH3) to lower polarizability and prevent moisture uptake to remarkably decrease the k-value [2]. The integration of porous low-k materials is very challenging, especially looking at patterning, resist stripping and etch residue removal, where commonly plasma processing has been applied. But plasma processing of ULK materials, especially using oxygen plasmas, is known to degrade electrical, optical and structural material properties by removing carbon from the film and densification of the surface near areas of the ULK [5]. Carbon depletion may also lead to the incorporation of-OH groups, which easily form silanols and therefore increase moisture absorption and k-values [2]. Besides the development of nondamaging plasma processes, wet cleaning is a promising alternative to avoid ULK damage while removing organic plasma etch residues. Additionally wet cleaning steps are always necessary to remove inorganic residues, which do not form volatile reaction products and can therefore not be removed by plasma processing.


2009 ◽  
Vol 145-146 ◽  
pp. 319-322 ◽  
Author(s):  
Nicole Ahner ◽  
Matthias Schaller ◽  
Christin Bartsch ◽  
Eugene Baryschpolec ◽  
Stefan E. Schulz

The removal of plasma etch residues by wet cleaning is an alternative or additional process to plasma processes, which are known to degrade low-k and ultralow-k dielectric materials. Besides Cu/low-k compatibility wetting is an important issue for wet cleaning. Surface energy of solid and liquid is the key to understand the wetting behaviour. In this study we examined the energetic character of plasma etched/stripped solid surfaces, etch polymers and several cleaning solutions by contact angle measurements. The results show, that variations of the etching process can heavily change the energetic character of the solid. Calculating the surface energies of solid and liquid provides the possibility to make a prediction if a cleaning liquid will wet the surface which has to be cleaned.


2016 ◽  
Vol 255 ◽  
pp. 223-226
Author(s):  
Akihisa Iwasaki ◽  
Ayumi Higuchi ◽  
Kana Komori ◽  
Masanobu Sato ◽  
Els Kesters ◽  
...  

A rapid repair process of plasma damaged SiCOH in combination with post-etch residue removal has been developed. The carbon depletion layer caused by plasma dry etching was repaired by subsequent surface modifying SAM treatment, which resulted in replenishment of carbon not only on the surface but also a few nm toward the bulk. This repairing technique provides a high-quality hydrophobic surface under conditions of low temperature and short process time. In addition, the SAM layer can be expected to act as an adhesion promotor with metal materials.


2012 ◽  
Vol 187 ◽  
pp. 241-244 ◽  
Author(s):  
Hua Cui ◽  
Martine Claes ◽  
Samuel Suhard

A novel wet cleaning formulation approach was developed with a TiN etch rate of more than 30 Å/min at room temperature and more than 100 Å/min at 50°C. The chemicals are compatible with Cu and low-k materials, and are suitable for Cu dual damascene interconnect 28 nm and smaller technology node applications. The chemicals offer a route to in situ controlled TiN pullback or even complete removal of the TiN mask during the cleaning process in single wafer tool applications. The chemicals do not contain NH4OH or TMAH and so are very user-friendly.


2014 ◽  
Vol 219 ◽  
pp. 193-196
Author(s):  
Nicole Ahner ◽  
Sven Zimmermann ◽  
Nicole Köhler ◽  
Stephan Krüger ◽  
Stefan E. Schulz

Porous ultra low constant materials (ULK) for isolation within the interconnect system of integrated circuits are a promising approach to reduce crosstalk and RC-delays due to shrinking feature sizes [1]. Due to their porosity and the integration of carbon rich species like methyl groups into the Si-O-Si backbone of currently fabricated PECVD SiCOH dielectrics those materials are highly sensible towards plasma processing, e.g. dry etching or resist stripping [2]. Metal hard mask approaches, e.g. using TiN hard masks are widely used to prevent the resist stripping plasma directly attacking the low-k material [3]. To reduce further plasma damage like carbon depletion and formation of polar silanol groups the development of less aggressive etching processes is in the focus of research and development activities. Nevertheless dry etching will attack the sidewalls and cause a material degradation. That is why repair processes, mainly based on silylation, are considered to follow the patterning step to reintegrate carbon rich species and to recover the dielectric’s properties [3]. Subsequently to dry etching and repairing the dielectric the wet chemical plasma etch residue removal process is performed. Besides material compatibility and effectiveness in residue removal the wetting behavior of the applied cleaning solutions towards the surface which has to be cleaned is crucial, especially looking on wetting issues like the incomplete wetting of very small via holes or pattern collapse. In this study we investigate in which way different silylation based repair processing regimes are affecting the wettability of the dielectric by water based cleaning solutions using contact angle based surface energy calculations.


Sign in / Sign up

Export Citation Format

Share Document