Surface Energy and Wetting Behaviour of Plasma Etched Porous SiCOH Surfaces and Plasma Etch Residue Cleaning Solutions

2009 ◽  
Vol 145-146 ◽  
pp. 319-322 ◽  
Author(s):  
Nicole Ahner ◽  
Matthias Schaller ◽  
Christin Bartsch ◽  
Eugene Baryschpolec ◽  
Stefan E. Schulz

The removal of plasma etch residues by wet cleaning is an alternative or additional process to plasma processes, which are known to degrade low-k and ultralow-k dielectric materials. Besides Cu/low-k compatibility wetting is an important issue for wet cleaning. Surface energy of solid and liquid is the key to understand the wetting behaviour. In this study we examined the energetic character of plasma etched/stripped solid surfaces, etch polymers and several cleaning solutions by contact angle measurements. The results show, that variations of the etching process can heavily change the energetic character of the solid. Calculating the surface energies of solid and liquid provides the possibility to make a prediction if a cleaning liquid will wet the surface which has to be cleaned.

Author(s):  
C. Mathew Mate ◽  
Robert W. Carpick

The energies associated with surfaces—surface energy, interfacial energy, surface tension, and work of adhesion—drive many surface and interfacial phenomena including tribological ones such as adhesion and friction. This chapter discusses the physical origins of surface energies for liquids and solids, and how the concepts of capillary pressure, capillary condensation, wetting, and work of adhesion are derived from surface energy. Further, this chapter covers the different methods for measuring surface energies, including the most common method for solid surfaces: contact angle measurements of liquid droplets on surfaces. This chapter also introduces how surface energies and surface tensions lead to adhesion and adhesion hysteresis between contacting surfaces, which is followed up in the subsequent chapters on surface forces.


2012 ◽  
Vol 187 ◽  
pp. 201-205 ◽  
Author(s):  
Nicole Ahner ◽  
Sven Zimmermann ◽  
Matthias Schaller ◽  
Stefan E. Schulz

Wet chemical plasma etch residue removal is a promising alternative to low-k dielectric degrading plasma cleaning processes. With decreasing feature dimensions the wetting behavior of the liquid on low energetic surfaces present after dielectric patterning will be an important issue in developing wet cleaning solutions. High surface energy liquids may not only be unable to wet low energetic surfaces, but can also cause nonwetting of small structures or pattern collapse. The improvement of the wetting behavior of a cleaning liquid by lowering its surface energy by the addition of surfactants is the strategy followed in this study. We show that with choosing the appropriate rinsing solution a wet chemical process using surfactant aided cleaning solutions compatible to the materials used in BEOL (porous low-k, copper, barriers) can be found. The results show a distinct improvement of the wetting behavior of the modified solutions on several low energetic solid surfaces like copper or polymers deposited during dry etching.


1989 ◽  
Vol 56 (2) ◽  
pp. 223-234 ◽  
Author(s):  
Michel Britten ◽  
Marcel Boulet ◽  
Paul Paquin

SummaryThe surface energies of highly hydrated casein micelle layers isolated from variously pretreated skim milks have been determined by means of contact angle measurements. The long range Lifshitz-Van der Waals (LW) and the short range hydrogen bonding (SR) components of surface energy were determined using α-bromonaphthalene and water for contact angle measurements. Casein micelles isolated from untreated and heat treated milks showed similar surface energy values of about 63·5 mJ.m-2 with an LW component of 19·2 mJ.m-2 and an SR component of 44·3 mJ.m-2. The calculated attraction potential energy was − 0·7 mJ.m-2. Casein micelles isolated from renneted milk showed a surface energy of 33·0 mJ.m-2 with an LW component of 30·7 mJ.m-2 and an SR component of 2·3 mJ.m-2. The attraction potential energy of renneted micelles was nearly two orders of magnitude higher than those of micelles from other milks ( − 63·3 mJ.m-2). The SR component of interfacial energy accounted for 98% of this attraction potential. The importance of attractive forces in relation to casein micelle stability is discussed.


2016 ◽  
Vol 255 ◽  
pp. 232-236
Author(s):  
Makonnen Payne ◽  
Steven Lippy ◽  
Ruben R. Lieten ◽  
Els Kesters ◽  
Quoc Toan Le ◽  
...  

In the BEOL, as interconnect dimensions shrink and novel materials are used, it has become increasingly difficult for traditional PERR removal chemicals to meet the evolving material compatibility requirements. As a result, formulated cleans that specifically target these unique challenges are required. Two formulated BEOL cleans were evaluated on blanket and patterned wafer coupons for their ability to wet etch titanium nitride (TiN) and clean post-plasma etch residue, while remaining compatible to interconnect metals (Cu and W) and low-k dielectric (k = 2.4). Both, showed an improvement in material compatibility relative to dilute HF, while simultaneously being able to remove the TiN hardmask and post-etch residue, leading > 90% yield on test structures of varying sizes.


2014 ◽  
Vol 219 ◽  
pp. 201-204 ◽  
Author(s):  
Els Kesters ◽  
Q.T. Le ◽  
D. Yu ◽  
M. Shen ◽  
S. Braun ◽  
...  

A possible way to realize a 22.5 nm 1⁄2 pitch and beyond BEOL interconnect structures within the low-kmaterial is the partial-trench via first with self-aligned double patterning (SADP) integration approach. A scheme of this BEOL integration stack with the different materials used after patterning is described in Figure 1. In BEOL processing, fluorocarbon-containing plasma is commonly used to pattern silica-based dielectric layers. During the patterning of the low-kdielectric layer, a thin layer of fluoropolymer (CFx-type residues) is intentionally deposited on the dielectric sidewalls and TiN hardmask to ensure anisotropic etching and prevent/minimize dielectric degradation. This polymer layer must be removed from the sidewall and the via bottom prior to the subsequent processing steps to achieve good adhesion and coverage of materials deposited in the etched features. The compatibility requirement is even more stringent for advanced low-kdielectrics, i.e. materials with lowerk-value and higher porosity. The post etch residue (PER) amount and properties are specific and depend on the stack structure and the plasma that is used for patterning. The low-kmaterials and hardmasks that are used in this work are respectively an organo-silicate glass (OSG) type of low-kmaterial withk= 2.4 (~20 % open porosity) and low-stress TiN. Recent results clearly showed the presence of a highly fluorinated layer deposited on the trench sidewalls during the plasma etch based on a fluorocarbon plasma [1-3]. Commodity aqueous cleaning solutions, such as diluted HF, do not efficiently remove the sidewall polymers without etching the underlying layer (lift-off). Therefore, there is a need for commercially available chemicals that can be easily tuned to deal with the different requirements. This study focuses on the use of FOTOPUR® R 2300 mixed with H2O2 for polymer residue removal selectively to other materials (presented in the stack) such as MHM, metals (Cu, W), and porous low-k dielectrics. We will show that TiN etch can be easily tuned by changing the concentration of H2O2.


A study has been made of the mechanical deformation properties of β-HMX, an important secondary explosive. It is shown that under compressive loading twinning takes place on the (101)-plane. At low loads, this twinning is elastic and usually precedes fracture. Cleavage in β-HMX takes place on the {011}-planes. The fracture surface energy of 0.06 J m -2 has been determined by a micro-indentation technique. This compares with a value of 0.045 J m -2 obtained for the thermodynamic surface energy from contact-angle measurements. The values suggest that there is relatively little energy loss by plastic deformation associated with crack propagation in HMX compared with, for example, the secondary explosives PETN and RDX. Despite this brittleness the twin deformation allows β-HMX to undergo large changes of shape: the significance of this in plastic-bonded explosives is commented on.


Sign in / Sign up

Export Citation Format

Share Document