I: Ultra-Shallow Junction Cleaning: Metrology for Evaluating Dopant Loss and Substrate Erosion

2007 ◽  
Vol 134 ◽  
pp. 129-131 ◽  
Author(s):  
Mike S. Ameen ◽  
Aseem K. Srivastava ◽  
Ivan L. Berry

We have investigated the use of Rs and SIMS measurements to quantify substrate erosion due to plasma ashing and subsequent wet cleaning in the creation of ultra-shallow junctions. The near-surface proximity of the implants makes them highly sensitive to various plasma and wet chemical processes. We also observed a dependency on the implant species, dose and energy that can be correlated to substrate damage incurred during implant.

2004 ◽  
Vol 810 ◽  
Author(s):  
A. J. Smith ◽  
B. Colombeau ◽  
R. Gwilliam ◽  
E. Collart ◽  
N.E.B. Cowern ◽  
...  

ABSTRACTSilicon on insulator (SOI - Smartcut®) wafers were implanted with 1MeV and 300keV silicon ions to doses of 3.8x1015 cm−2 and 3x1014 cm−2, respectively, in order to modify the vacancy concentration in a controlled way. Boron was then implanted at 2keV to a dose of 1×1015 cm−2 into the near-surface part of the vacancy-engineered region. Atomic profiles were determined using SIMS and electrical profiles were measured using a novel Differential Hall Effect (DHE) technique, which enables profiling of electrically active dopants with a nanometer depth resolution. The electrical profiles provide pairs of carrier concentration and mobility values as a function of depth. The buried oxide (BOX) is proven to restrict the back diffusing interstitials positioned below the BOX from entering the silicon top layer and interacting with the boron profile. Also an increase of ∼50% in boron activation is achieved when a co-implant is used. However, SOI shows a reduced degree of activation when compared to bulk silicon, with or without a co-implant.


1997 ◽  
Vol 470 ◽  
Author(s):  
Daniel F. Downey ◽  
Sonu L. Daryanani ◽  
Marylou Meloni ◽  
Kristen M. Brown ◽  
Susan B. Felch ◽  
...  

ABSTRACT2. 0 keV 11B+, 2.2 keV 49BF2+ ion implanted and 1.0 kV Plasma Doped (PLAD) wafers of a dose of 1E15/cm2 were annealed at various times and temperatures in a variety of ambiente: 600 to 50,000 ppm O2 in N2; 5% NH3 in N2; N2O; N2 or Ar, in order to investigate the effects of the annealing ambient on the formation of ultra-shallow junctions. RGA data was collected during some (if the anneals to assist in identifying the complex surface chemistry responsible for boron out-diffusion. Subsequent to the anneals, ellipsometric, XPS, four-point probe sheet resistance and SJJVIS measurements were performed to further elucidate the effects of the different ambients on the r etained boron dose, the sheet resistance value, the RTP grown oxide layer and the junction depth. In the cases where oxygen was present, e.g. N2O and O2 in N2, an oxidation enhanced diffusion of the boron was observed. This was most dramatic for the N2O anneals, which at 1050°C 10s diffused the boron an additional 283 to 427 Å, depending on the particular doping condition and species. For the case of BF2 implants and PLAD, anneals in 5% NH3 in N2 reduced the junction depth by a nitridation reduced diffusion mechanism. RGA data indicated that the out-diffusion mechanisms for B and BF2 implanted wafers are different, with the BF2 exhibiting dopant loss mechanisms during the 950°C anneals, producing F containing compounds. B implants did not show doping loss mechanisms, ais observed by the RGA, until the 1050°C anneals and these signals did not contain F containing compounds. Equivalent effective energy boron implants of 8.9 keV BF2 vs. 2.0 keV B, however, indicated that the overall effect of the F in the BF2 implants is very beneficial in the creation of ultra-shallow junctions (compared to B implants): reducing the junction depth by 428 Å, and increasing the electrical activation (determined by SRP) by 11.7%, even though the retained dose (resulting from an increased out-diffusion of B), was decreased by 5.4%.


Author(s):  
Nik Hazura N. Hamat ◽  
Uda Hashim ◽  
Ibrahim Ahmad

Bagi merealisasikan MOSFET submikron, simpangan cetek ultra berkerintangan rendah diperlukan bagi menghalang kesan saluran pendek dan bagi meningkatkan peranti. Dalam kajian ini, pembentukan simpangan cetek ultra disimulasikan menggunakan perisian ATHENA dan Silvaco Inc. bagi memodelkan resapan dari SOD ke dalam silikon. Simpangan ultra P+N berkualiti tinggi dengan kedalaman 40 nm telah dibentuk menggunakan ciri–ciri yang baik dengan arus bocor serendah 0.5 na/cm2. Simpangan cetek kurang daripada turut diperoleh tetapi kualiti simpangan–simpangan cetek ini kurang baik disebabkan oleh arus bocor permukaan yang tinggi. Pembentukan simpangan dari resapan lapisan polisilikon di atas silikon diikuti oleh SOD di atasnya menghasilkan simpangan yang lebih cetek yang berkerintangan rendah. Kata kunci: Simpangan cetek ultra, resapan, SOD, ATHENA, MOSFET For realizing deep submicron MOSFETs, ultra shallow junctions with low sheet resistance and high doping concentrations are required to suppress short channel effects and to increase the performance. In this paper, ultra shallow junctions were simulated using ATHENA software package from Silvaco TCAD Tools to model the diffusion from spin on dopant (SOD) into silicon. High performance 40 nm P+N shallow junction fabricated by rapid thermal diffusion of B150 into silicon have been obtained. The junction showed very good characteristics with leakage currents as low as 0.5 nA/cm2. Shallow junctions less than 20 nm have also been obtained but the quality was not very good due to very high surface leakage current. Junction formation by diffusion of polysilicon layer on Si substrates then SOD layer deposition on top of it produced shallower junctions with low sheet resistance. Key words: Ultra shallow junction, MOSFET, ULSI, diffusion, spin on dopant, ATHENA, ATLAS


2006 ◽  
Vol 912 ◽  
Author(s):  
Nick Bennett ◽  
A. J. Smith ◽  
C. S. Beer ◽  
L. O'Reilly ◽  
B. Colombeau ◽  
...  

AbstractSheet resistance (Rs) reductions are presented for antimony and arsenic doped layers produced in strained Si. Results re-emphasise the Rs reduction for As comes purely as a result of mobility improvement whereas for Sb, a superior lowering is observed from improvements in both mobility and activation. For the first time, strain is shown to enhance the activation of dopant atoms whilst Sb is seen to create stable ultra-shallow junctions. Our results propose Sb as a viable alternative to As for the creation of highly activated, low resistance ultra-shallow junctions for use with strain-engineered CMOS devices.


1998 ◽  
Vol 532 ◽  
Author(s):  
Kentaro Shibahara ◽  
Hiroaki Furumoto ◽  
Kazuhiko Egusa ◽  
Meishoku Koh ◽  
Shin Yokoyama

ABSTRACTWe have investigated the origins of sheet resistance increase in ultra shallow junctions formed by low energy As or Sb implantation. The increase is mainly attributed to dopant loss during annealing due to pileup of dopant at Si02/Si interface. This problem is common to As and Sb and will become more significant as the implantation energies are decreased. We found that the pileup can be classified into two stages from the time dependence of Sb SIMS depth profile .In the early stage of annealing the pileup is very fast and is probably related to the transport of the dopants due to solid phase epitaxial growth of an amorphized layer formed by the implantation. In the later stage the pileup is much slower and is considered to be governed by dopant diffusion.


2016 ◽  
Vol 213 (8) ◽  
pp. 2044-2050 ◽  
Author(s):  
Felipe Fávaro de Oliveira ◽  
Seyed Ali Momenzadeh ◽  
Denis Antonov ◽  
Helmut Fedder ◽  
Andrej Denisenko ◽  
...  

2011 ◽  
Author(s):  
G. D. Papasouliotis ◽  
L. Godet ◽  
V. Singh ◽  
R. Miura ◽  
H. Ito ◽  
...  

Author(s):  
R. Lindsay ◽  
K. Henson ◽  
W. Vandervorst ◽  
K. Maex ◽  
B. J. Pawlak ◽  
...  

Sign in / Sign up

Export Citation Format

Share Document