Rapid Thermal Process Requirements for The Annealing of Ultra-Shallow Junctions

1997 ◽  
Vol 470 ◽  
Author(s):  
Daniel F. Downey ◽  
Sonu L. Daryanani ◽  
Marylou Meloni ◽  
Kristen M. Brown ◽  
Susan B. Felch ◽  
...  

ABSTRACT2. 0 keV 11B+, 2.2 keV 49BF2+ ion implanted and 1.0 kV Plasma Doped (PLAD) wafers of a dose of 1E15/cm2 were annealed at various times and temperatures in a variety of ambiente: 600 to 50,000 ppm O2 in N2; 5% NH3 in N2; N2O; N2 or Ar, in order to investigate the effects of the annealing ambient on the formation of ultra-shallow junctions. RGA data was collected during some (if the anneals to assist in identifying the complex surface chemistry responsible for boron out-diffusion. Subsequent to the anneals, ellipsometric, XPS, four-point probe sheet resistance and SJJVIS measurements were performed to further elucidate the effects of the different ambients on the r etained boron dose, the sheet resistance value, the RTP grown oxide layer and the junction depth. In the cases where oxygen was present, e.g. N2O and O2 in N2, an oxidation enhanced diffusion of the boron was observed. This was most dramatic for the N2O anneals, which at 1050°C 10s diffused the boron an additional 283 to 427 Å, depending on the particular doping condition and species. For the case of BF2 implants and PLAD, anneals in 5% NH3 in N2 reduced the junction depth by a nitridation reduced diffusion mechanism. RGA data indicated that the out-diffusion mechanisms for B and BF2 implanted wafers are different, with the BF2 exhibiting dopant loss mechanisms during the 950°C anneals, producing F containing compounds. B implants did not show doping loss mechanisms, ais observed by the RGA, until the 1050°C anneals and these signals did not contain F containing compounds. Equivalent effective energy boron implants of 8.9 keV BF2 vs. 2.0 keV B, however, indicated that the overall effect of the F in the BF2 implants is very beneficial in the creation of ultra-shallow junctions (compared to B implants): reducing the junction depth by 428 Å, and increasing the electrical activation (determined by SRP) by 11.7%, even though the retained dose (resulting from an increased out-diffusion of B), was decreased by 5.4%.

1998 ◽  
Vol 525 ◽  
Author(s):  
Daniel F. Downey ◽  
Judy W. Chow ◽  
Wilfried Lerch ◽  
Juergen Niess ◽  
Steven D. Marcus

ABSTRACTIon implants of 1.0 keV 11B+, 5 keV BF 2+, and 2.0 keV As+ at a dose of IeI5/cm2 were rapid thermal annealed (RTA) in a STEAG AST-2800µ with varying percents of oxygen in N2, ranging from 0-lppm to 50,000 ppm to investigate the effects of low concentrations of oxygen during anneal. Sheet resistance (Rs), ellipsometry, SIMS, Tapered Groove Profilometry (TGP), and Scanning Force Microscopy (SFM) were employed to characterize these layers. For each of these implant cases, an optimal RTA condition is established which maximizes retained dose while still producing shallow junctions. As a function of O2 content, anneal temperature and implant condition, three regimes are observed that affect after anneal retained dose. These regimes are: dopant loss to the ambient resulting from etching of Si, dopant loss by out-diffusion from evaporation/chemical reactions, a capping regime that minimizes out-diffusion. In this later regime the dopant loss results from consumption into the RTA grown oxide. In addition, this paper also discusses oxidation enhanced diffusion (OED) and identifies its extent as a function of temperature and O2 content of the anneal for the three implant conditions investigated. For example, a 1.0 keV 11B+wafer annealed at 1050°C lOs in a controlled 33 ppm of O2 in N2 yields a SIMS junction depth 320 Å shallower than previously reported by others.


2004 ◽  
Vol 810 ◽  
Author(s):  
Alex Salnick ◽  
Lena Nicolaides ◽  
Jon Opsal ◽  
Amitabh Jain ◽  
Duncan Rogers ◽  
...  

ABSTRACTThermal wave (TW) studies of ultra-shallow junctions (USJ) formed by ion implantation into a semiconductor wafer followed by rapid thermal annealing (RTP) are described. It is shown that using the TW technique allows for a simultaneous determination of the most important USJ parameters – depth and profile abruptness. Experimental results for junction depth and abruptness obtained on a set of B+-implanted, RTP-annealed USJ samples show better than 0.99 correlations to the corresponding secondary ion mass spectroscopy (SIMS) data.


2006 ◽  
Vol 912 ◽  
Author(s):  
Nathalie Cagnat ◽  
Cyrille Laviron ◽  
Daniel Mathiot ◽  
Blandine Duriez ◽  
Julien Singer ◽  
...  

AbstractThe permanent decrease of the transistor size to improve the performances of integrated circuits must be accompanied by a permanent decrease of the depth of the source-drain junctions. At the same time, in order to keep acceptable sheet resistance values, the dopant concentration in the source-drain areas has to be continuously increased. A possible technological way to meet the junction depth and abruptness requirements is to use co-implantation of non doping species with classical implantations, especially for light ions as B or P.In order to clarify the complex interactions occurring during these co-implantation processes, we have performed an extensive experimental study of the effect of Ge, F, N, C and their combinations on boron. A special interest was given to the overall integration issues. We will show that it is required to optimize the respective locations of co-implanted species with respect to the B profiles (more precisely the ion implantation damage locations), as well as the co-implanted species doses, to get an acceptable compromise between the efficient diffusion decrease required for the junction abruptness and depth, and a reasonable current leakages.


2007 ◽  
Vol 134 ◽  
pp. 129-131 ◽  
Author(s):  
Mike S. Ameen ◽  
Aseem K. Srivastava ◽  
Ivan L. Berry

We have investigated the use of Rs and SIMS measurements to quantify substrate erosion due to plasma ashing and subsequent wet cleaning in the creation of ultra-shallow junctions. The near-surface proximity of the implants makes them highly sensitive to various plasma and wet chemical processes. We also observed a dependency on the implant species, dose and energy that can be correlated to substrate damage incurred during implant.


2000 ◽  
Vol 610 ◽  
Author(s):  
Kentaro Shibahara ◽  
Dai Onimatsu

AbstractAntimony implantation is a promising technique for fabricating ultra-shallow n+/p junctions for extensions of sub-100-nm n-MOSFETs. By increasing the Sb+ implantation dose to 6×1014 cm−2, sheet resistance (Rs) of an implanted layer was reduced to 260 /sq. for rapid thermal annealing (RTA) at 800°C. The obtained junction depth of 19 nm is suitable for sub-100-nm MOSFETs. However, the reduction in the sheet resistance showed a tendency to saturate. No pileup at the Si-SiO2 interface, which was the major origin of dopant loss in lower dose cases was, observed in Sb depth profiles in this case. However, in the case of 900°C RTA, Sb depth profiles indicated that Sb was nearly immobile in the region where Sb concentration exceeded 1×1020 cm−3. These results imply that the major limiting factor of Rs reduction under the highdose condition is Sb precipitation, which is different from the lower dose cases.


1998 ◽  
Vol 532 ◽  
Author(s):  
S. Saito ◽  
S. Shishiguchi ◽  
A. Mineji ◽  
T. Matsuda

ABSTRACTIn accordance with decrease of device size, ultra shallow junctions are required for realizing superior device performance. Enhanced diffusion caused by implantation is a crucial factor to realize ultra shallow junctions. Not only implant but also RTA conditions are key factors to suppress enhanced diffusion. In this paper, process conditions to minimize enhanced diffusion are discussed. Implant ion species, energy, dose and beam current parameters are investigated for implantation and temperature, time and ramping rate parameters are investigated for RTA. Important result is that optimization of not only implant but also RTA conditions should be carried out in order to fabricate ultra shallow junctions.


1998 ◽  
Vol 525 ◽  
Author(s):  
Raghu Srinivasa ◽  
Vikas Agarwal ◽  
Jinning Liu ◽  
Daniel F. Downey ◽  
Sanjay Banerjee

ABSTRACT2 keV to 10 keV arsenic, As+, and arsenic dimer ions, As 2+, were implanted into silicon at a dose of le15 cm-2 and 3e 15 cm-2 at 0° and 7°' tilt angles. For bare wafers, a low concentration of oxygen is required to provide sufficient capping during anneal to minimize out-diffusion. In the presence of oxygen, enhanced diffusion occurs during the anneal, the extent of which is a function of the concentration of oxygen and the temperature of anneal. The oxidation enhanced diffusion (OED) is significant at anneal temperatures above 1050°C. The extent of OED is observed to be more significant for the samples with lower energy As+ implants. An alternative technique for minimizing OED, without much out-diffusion, is the use of higher energy, 5 keV implants through a screen oxide. For identical anneal conditions, 5 keV As+ implants through a 40 Å screen oxide offer junction depth and sheet resistance values equivalent to that of 2 keV implants into bare silicon. As the screen oxide is sufficient to cap the out-diffusion of dopants, a nitrogen ambient or a lower temperature could be employed to get shallower junctions without much degradation in the sheet resistance. Further reduction in junction depths can be achieved by using the As 2+ implants.


Author(s):  
Nik Hazura N. Hamat ◽  
Uda Hashim ◽  
Ibrahim Ahmad

Bagi merealisasikan MOSFET submikron, simpangan cetek ultra berkerintangan rendah diperlukan bagi menghalang kesan saluran pendek dan bagi meningkatkan peranti. Dalam kajian ini, pembentukan simpangan cetek ultra disimulasikan menggunakan perisian ATHENA dan Silvaco Inc. bagi memodelkan resapan dari SOD ke dalam silikon. Simpangan ultra P+N berkualiti tinggi dengan kedalaman 40 nm telah dibentuk menggunakan ciri–ciri yang baik dengan arus bocor serendah 0.5 na/cm2. Simpangan cetek kurang daripada turut diperoleh tetapi kualiti simpangan–simpangan cetek ini kurang baik disebabkan oleh arus bocor permukaan yang tinggi. Pembentukan simpangan dari resapan lapisan polisilikon di atas silikon diikuti oleh SOD di atasnya menghasilkan simpangan yang lebih cetek yang berkerintangan rendah. Kata kunci: Simpangan cetek ultra, resapan, SOD, ATHENA, MOSFET For realizing deep submicron MOSFETs, ultra shallow junctions with low sheet resistance and high doping concentrations are required to suppress short channel effects and to increase the performance. In this paper, ultra shallow junctions were simulated using ATHENA software package from Silvaco TCAD Tools to model the diffusion from spin on dopant (SOD) into silicon. High performance 40 nm P+N shallow junction fabricated by rapid thermal diffusion of B150 into silicon have been obtained. The junction showed very good characteristics with leakage currents as low as 0.5 nA/cm2. Shallow junctions less than 20 nm have also been obtained but the quality was not very good due to very high surface leakage current. Junction formation by diffusion of polysilicon layer on Si substrates then SOD layer deposition on top of it produced shallower junctions with low sheet resistance. Key words: Ultra shallow junction, MOSFET, ULSI, diffusion, spin on dopant, ATHENA, ATLAS


Sign in / Sign up

Export Citation Format

Share Document