Spatial heterogeneity and environmental controllers of soil organic carbon stocks in a boreal forest

Author(s):  
Udaya Vitharana ◽  
Nora Casson ◽  
Darshani Kumaragamage ◽  
Geoff Gunn ◽  
Scott Higgins ◽  
...  

<p>The knowledge of spatial heterogeneity and environmental controllers of soil organic carbon (SOC) stocks is essential for upscaling and predicting SOC dynamics under changing land use and climatic conditions.  This study investigated the spatial variability and intrinsic and extrinsic controllers of SOC stocks in a boreal forest catchment (320 ha) at the International Institute for Sustainable Development Experimental Lakes Area in Ontario, Canada. Forty-seven surface soil (0-30 cm) samples, representative of the spatial variability of topography, surface water flow patterns and vegetation distribution, were obtained within the catchment. Air dried soil samples were sieved to separate gravel (>2 mm) and fine-earth (<2 mm) fractions and were analyzed for SOC concentration using the loss-on-ignition method. Core sample method was used to determine the soil bulk density. SOC concentrations in surface soils showed a large spatial variability (1.2% to 50.4%, CV= 111.3%). Thick organic soil layers in the wetlands of the sub-catchment showed the highest SOC concentrations. The surface soil SOC stocks ranged between 14.5 to 240.5 Mg ha-1 with an average stock of 101.5 Mg ha-1. Spatial autocorrelations of SOC stocks were modelled by calculating relevant variograms. The variability of SOC stocks (sill = 834) was dominated by the random variability (nugget=275) whereas the variability of SOC concentration (sill = 2.5) was dominated by the spatially structured variability (nugget = 0). We found a strong spatial autocorrelation of the SOC concentrations within the catchment, but the SOC stocks were less spatially correlated. This was largely due to the heterogeneity in the thickness of the surface soil layer (10 cm - 30 cm) and in the gravel content (0-28.9%). We found that a large over-estimation of SOC stocks (52.5%) could result if these intrinsic factors are not considered. Extrinsic controllers were generally not significantly related to the SOC stock; Spearman’s rank correlation analysis on the entire dataset showed non-significant relationships between the SOC stock and extrinsic controllers, namely NDVI (r = 0.04) elevation (r = 0.2), slope (r = -0.1) and topographic indices, stream power index (r = -0.1), relative position index (r=-0.2) and plan curvature (r = -0.1). However, regression tree analysis revealed local-scale effects of aspect, NDVI, elevation, and distance to ridge on the SOC stocks. Many forest soil databases lack information of gravel content and soil depth. Thus, upscaling boreal forest SOC stocks without these two key intrinsic controllers can lead to higher uncertainties in  SOC stock estimates. Further, the impacts of extrinsic controllers may vary across heterogenous landscapes. Machine learning-based digital soil mapping techniques such as Random Forest models are more appropriate for incorporating local-scale impacts of extrinsic controllers when upscaling SOC stocks of boreal forest soils. </p>

2021 ◽  
Vol 7 (9) ◽  
pp. eaaz5236 ◽  
Author(s):  
Umakant Mishra ◽  
Gustaf Hugelius ◽  
Eitan Shelef ◽  
Yuanhe Yang ◽  
Jens Strauss ◽  
...  

Large stocks of soil organic carbon (SOC) have accumulated in the Northern Hemisphere permafrost region, but their current amounts and future fate remain uncertain. By analyzing dataset combining >2700 soil profiles with environmental variables in a geospatial framework, we generated spatially explicit estimates of permafrost-region SOC stocks, quantified spatial heterogeneity, and identified key environmental predictors. We estimated that 1014−175+194 Pg C are stored in the top 3 m of permafrost region soils. The greatest uncertainties occurred in circumpolar toe-slope positions and in flat areas of the Tibetan region. We found that soil wetness index and elevation are the dominant topographic controllers and surface air temperature (circumpolar region) and precipitation (Tibetan region) are significant climatic controllers of SOC stocks. Our results provide first high-resolution geospatial assessment of permafrost region SOC stocks and their relationships with environmental factors, which are crucial for modeling the response of permafrost affected soils to changing climate.


2014 ◽  
Vol 7 (3) ◽  
pp. 1197-1210 ◽  
Author(s):  
M. Nussbaum ◽  
A. Papritz ◽  
A. Baltensweiler ◽  
L. Walthert

Abstract. Accurate estimates of soil organic carbon (SOC) stocks are required to quantify carbon sources and sinks caused by land use change at national scale. This study presents a novel robust kriging method to precisely estimate regional and national mean SOC stocks, along with truthful standard errors. We used this new approach to estimate mean forest SOC stock for Switzerland and for its five main ecoregions. Using data of 1033 forest soil profiles, we modelled stocks of two compartments (0–30, 0–100 cm depth) of mineral soils. Log-normal regression models that accounted for correlation between SOC stocks and environmental covariates and residual (spatial) auto-correlation were fitted by a newly developed robust restricted maximum likelihood method, which is insensitive to outliers in the data. Precipitation, near-infrared reflectance, topographic and aggregated information of a soil and a geotechnical map were retained in the models. Both models showed weak but significant residual autocorrelation. The predictive power of the fitted models, evaluated by comparing predictions with independent data of 175 soil profiles, was moderate (robust R2 = 0.34 for SOC stock in 0–30 cm and R2 = 0.40 in 0–100 cm). Prediction standard errors (SE), validated by comparing point prediction intervals with data, proved to be conservative. Using the fitted models, we mapped forest SOC stock by robust external-drift point kriging at high resolution across Switzerland. Predicted mean stocks in 0–30 and 0–100 cm depth were equal to 7.99 kg m−2 (SE 0.15 kg m−2) and 12.58 kg m−2 (SE 0.24 kg m−2), respectively. Hence, topsoils store about 64% of SOC stocks down to 100 cm depth. Previous studies underestimated SOC stocks of topsoil slightly and those of subsoils strongly. The comparison further revealed that our estimates have substantially smaller SE than previous estimates.


Forests ◽  
2021 ◽  
Vol 12 (11) ◽  
pp. 1562
Author(s):  
Iveta Varnagirytė-Kabašinskienė ◽  
Povilas Žemaitis ◽  
Kęstutis Armolaitis ◽  
Vidas Stakėnas ◽  
Gintautas Urbaitis

In the context of the specificity of soil organic carbon (SOC) storage in afforested land, nutrient-poor Arenosols and nutrient-rich Luvisols after afforestation with coniferous and deciduous tree species were studied in comparison to the same soils of croplands and grasslands. This study analysed the changes in SOC stock up to 30 years after afforestation of agricultural land in Lithuania, representing the cool temperate moist climate region of Europe. The SOC stocks were evaluated by applying the paired-site design. The mean mass and SOC stocks of the forest floor in afforested Arenosols increased more than in Luvisols. Almost twice as much forest floor mass was observed in coniferous than in deciduous stands 2–3 decades after afforestation. The mean bulk density of fine (<2 mm) soil in the 0–30 cm mineral topsoil layer of croplands was higher than in afforested sites and grasslands. The clear decreasing trend in mean bulk density due to forest stand age with the lowest values in the 21–30-year-old stands was found in afforested Luvisols. In contrast, the SOC concentrations in the 0–30 cm mineral topsoil layer, especially in Luvisols afforested with coniferous species, showed an increasing trend due to the influence of stand age. The mean SOC values in the 0–30 cm mineral topsoil layer of Arenosols and Luvisols during the 30 years after afforestation did not significantly differ from the adjacent croplands or grasslands. The mean SOC stock slightly increased with the forest stand age in Luvisols; however, the highest mean SOC stock was detected in the grasslands. In the Arenosols, there was higher SOC accumulation in the forest floor with increasing stand age than in the Luvisols, while the proportion of SOC stocks in mineral topsoil layers was similar and more comparable to grasslands. These findings suggest encouragement of afforestation of former agricultural land under the current climate and soil characteristics in the region, but the conversion of perennial grasslands to forest land should be done with caution.


2015 ◽  
Vol 2 (2) ◽  
pp. 871-902 ◽  
Author(s):  
H. C. Hombegowda ◽  
O. van Straaten ◽  
M. Köhler ◽  
D. Hölscher

Abstract. Tropical agroforestry has an enormous potential to sequester carbon while simultaneously producing agricultural yields and tree products. The amount of soil organic carbon (SOC) sequestered is however influenced by the type of the agroforestry system established, the soil and climatic conditions and management. In this regional scale study, we utilized a chronosequence approach to investigate how SOC stocks changed when the original forests are converted to agriculture, and then subsequently to four different agroforestry systems (AFSs): homegarden, coffee, coconut and mango. In total we established 224 plots in 56 plot clusters across four climate zones in southern India. Each plot cluster consisted of four plots: a natural forest reference plot, an agriculture reference and two of the same AFS types of two ages (30–60 years and > 60 years). The conversion of forest to agriculture resulted in a large loss the original SOC stock (50–61 %) in the top meter of soil depending on the climate zone. The establishment of homegarden and coffee AFSs on agriculture land caused SOC stocks to rebound to near forest levels, while in mango and coconut AFSs the SOC stock increased only slightly above the agriculture stock. The most important variable regulating SOC stocks and its changes was tree basal area, possibly indicative of organic matter inputs. Furthermore, climatic variables such as temperature and precipitation, and soil variables such as clay fraction and soil pH were likewise all important regulators of SOC and SOC stock changes. Lastly, we found a strong correlation between tree species diversity in homegarden and coffee AFSs and SOC stocks, highlighting possibilities to increase carbon stocks by proper tree species assemblies.


2016 ◽  
Author(s):  
Christopher Poeplau ◽  
Cora Vos ◽  
Axel Don

Abstract. Estimation of soil organic carbon (SOC) stocks requires estimates of the carbon content, bulk density, stone content and depth of a respective soil layer. However, different application of these parameters could introduce a considerable bias. Here, we explain why three out of four frequently applied methods overestimate SOC stocks. In stone rich soils (> 30 Vol. %), SOC stocks could be overestimated by more than 100 %, as revealed by using German Agricultural Soil Inventory data. Due to relatively low stone content, the mean systematic overestimation for German agricultural soils was 2.1–10.1 % for three different commonly used equations. The equation ensemble as re-formulated here might help to unify SOC stock determination and avoid overestimation in future studies.


Soil Research ◽  
2014 ◽  
Vol 52 (5) ◽  
pp. 463 ◽  
Author(s):  
Zhongkui Luo ◽  
Enli Wang ◽  
Jeff Baldock ◽  
Hongtao Xing

The diversity of cropping systems and its variation could lead to great uncertainty in the estimation of soil organic carbon (SOC) stock across time and space. Using the pre-validated Agricultural Production Systems Simulator, we simulated the long-term (1022 years) SOC dynamics in the top 0.3 m of soil at 613 reference sites under 59 representative cropping systems across Australia’s cereal-growing regions. The point simulation results were upscaled to the entire cereal-growing region using a Monte Carlo approach to quantify the spatial pattern of SOC stock and its uncertainty caused by cropping system and environment. The predicted potential SOC stocks at equilibrium state ranged from 10 to 140 t ha–1, with the majority in a range 30–70 t ha–1, averaged across all the representative cropping systems. Cropping system accounted for ~10% of the total variance in predicted SOC stocks. The type of cropping system that determined the carbon input into soil had significant effects on SOC sequestration potential. On average, the potential SOC stock in the top 0.3 m of soil was 30, 50 and 60 t ha–1 under low-, medium- and high-input cropping systems in terms of carbon input, corresponding to –2, 18 and 26 t ha–1 of SOC change. Across the entire region, the Monte Carlo simulations showed that the potential SOC stock was 51 t ha–1, with a 95% confidence interval ranging from 38 to 64 t ha–1 under the identified representative cropping systems. Overall, predicted SOC stock could increase by 0.99 Pg in Australian cropland under the identified representative cropping systems with optimal management. Uncertainty varied depending on cropping system, climate and soil conditions. Detailed information on cropping system and soil and climate characteristics is needed to obtain reliable estimates of potential SOC stock at regional scale, particularly in cooler and/or wetter regions.


Soil Research ◽  
2013 ◽  
Vol 51 (1) ◽  
pp. 41 ◽  
Author(s):  
Guo-Ce Xu ◽  
Zhan-Bin Li ◽  
Peng Li ◽  
Ke-Xin Lu ◽  
Yun Wang

Soil organic carbon (SOC) plays an important role in maintaining and improving soil fertility and quality, in addition to mitigating climate change. Understanding SOC spatial variability is fundamental for describing soil resources and predicting SOC. In this study, SOC content and SOC mass were estimated based on a soil survey of a small watershed in the Dan River, China. The spatial heterogeneity of SOC distribution and the impacts of land-use types, elevation, slope, and aspect on SOC were also assessed. Field sampling was carried out based on a 100 m by 100 m grid system overlaid on the topographic map of the study area, and samples were collected in three soil layers to a depth of 40 cm. In total, 222 sites were sampled and 629 soil samples were collected. The results showed that classical kriging could successfully interpolate SOC content in the watershed. Contents of SOC showed strong spatial heterogeneity based on the values of the coefficient of variation and the nugget ratio, and this was attributed largely to the type of land use. The range of the semi-variograms increased with increasing soil depth. The SOC content in the soil profile decreased as soil depth increased, and there were significant (P < 0.01) differences among the three soil layers. Land use had a great impact on the SOC content. ANOVA indicated that the spatial variation of SOC contents under different land use types was significant (P < 0.05). The SOC mass of different land-use types followed the order grassland > forestland > cropland. Mean SOC masses of grassland, forestland, and cropland at a depth of 0–40 cm were 5.87, 5.61, and 5.07 kg m–2, respectively. The spatial variation of SOC masses under different land-use types was significant (P < 0.05). ANOVA also showed significant (P < 0.05) impact of aspect on SOC mass in soil at 0–40 cm. Soil bulk density played an important role in the assessment of SOC mass. In conclusion, carbon in soils in the source area of the middle Dan River would increase with conversion from agricultural land to forest or grassland.


2014 ◽  
Vol 36 (4) ◽  
pp. 359 ◽  
Author(s):  
D. E. Allen ◽  
P. M. Bloesch ◽  
R. A. Cowley ◽  
T. G. Orton ◽  
J. E. Payne ◽  
...  

Fire and grazing are commonplace in Australian tropical savannas and the effects of these management practices on soil organic carbon stocks (SOC) is not well understood. A long-term (20 years) experiment studying the effects of fire on a grazed semi-arid tropical savanna was used to increase this understanding. Treatments, including frequency of fire (every 2, 4 and 6 years), season of fire [early (June) vs late (October) dry season] and unburnt control plots, were imposed on Vertosol grassland and Calcarosol woodland sites, which were grazed. Additionally long-term enclosures [unburnt (except the Calcarosol in 2001) and ungrazed since 1973] on each soil type adjacent to each site were sampled, although not included in statistical analyses. SOC stocks were measured to a soil depth of 0.3 m using a wet oxidation method (to avoid interference by carbonates) and compared on an equivalent soil mass basis. Significant treatment differences in SOC stocks were tested for, while accounting for spatial background variation within each site. SOC stocks (0–0.3 m soil depth) ranged between 10.1 and 28.9 t ha–1 (Vertosol site) and 20.7 and 54.9 t ha–1 (Calcarosol site). There were no consistent effects of frequency or season of fire on SOC stocks, possibly reflecting the limited statistical power of the study and inherent spatial variability observed. Differences in the response to frequency and season of fire observed between these soils may have been due to differences in clay type, plant species composition and/or preferential grazing activity associated with fire management. There may also have been differences in C input between treatments and sites due to differences in the herbage mass and post-fire grazing activity on both sites and changed pasture composition, higher herbage fuel load, and a reduction in woody cover on the Vertosol site. This study demonstrated the importance of accounting for background spatial variability and treatment replication (in the absence of baseline values) when assessing SOC stocks in relation to management practices. Given the absence of baseline SOC values and the potentially long period required to obtain changes in SOC in rangelands, modelling of turnover of SOC in relation to background spatial variability would enable management scenarios to be considered in relation to landscape variation that may be unrelated to management. These considerations are important for reducing uncertainty in C-flux accounting and to provide accurate and cost-effective methods for land managers considering participation in the C economy.


2014 ◽  
Vol 1 (1) ◽  
pp. 757-802 ◽  
Author(s):  
B. A. Miller ◽  
S. Koszinski ◽  
M. Wehrhan ◽  
M. Sommer

Abstract. The distribution of soil organic carbon (SOC) can be variable at small analysis scales, but consideration of its role in regional and global issues demands the mapping of large extents. There are many different strategies for mapping SOC, among which are to model the variables needed to calculate the SOC stock indirectly or to model the SOC stock directly. The purpose of this research is to compare direct and indirect approaches to mapping SOC stocks from rule-based, multiple linear regression models applied at the landscape scale via spatial association. The final products for both strategies are high-resolution maps of SOC stocks (kg m−2), covering an area of 122 km2, with accompanying maps of estimated error. For the direct modelling approach, the estimated error map was based on the internal error estimations from the model rules. For the indirect approach, the estimated error map was produced by spatially combining the error estimates of component models via standard error propagation equations. We compared these two strategies for mapping SOC stocks on the basis of the qualities of the resulting maps as well as the magnitude and distribution of the estimated error. The direct approach produced a map with less spatial variation than the map produced by the indirect approach. The increased spatial variation represented by the indirect approach improved R2 values for the topsoil and subsoil stocks. Although the indirect approach had a lower mean estimated error for the topsoil stock, the mean estimated error for the total SOC stock (topsoil + subsoil) was lower for the direct approach. For these reasons, we recommend the direct approach to modelling SOC stocks be considered a more conservative estimate of the SOC stocks' spatial distribution.


2021 ◽  
Author(s):  
Yi Yang ◽  
Terrance Loecke ◽  
Johanness Knops

Abstract Post agricultural grasslands are considered to accumulate soil organic carbon (SOC) after cultivation cessation. The Conservation Reserve Program (CRP) in the U.S. is a wide scale, covering approximately 8.9 Mha as of 2020, example of row-crop to grassland conversion. To date, SOC sequestration rates, and potential, in CRP has mostly been evaluated at local scales and focused on the surface 20–30 cm of the soil profile. Thus, we lack knowledge of C sequestration rates in CRP lands on a continental scale and of C dynamics in the subsurface soil after agricultural cessation. The Rapid Carbon Assessment (RaCA) project is the most recent effort by the United States Department of Agriculture (USDA) to systematically quantify C stock in the 0-100 cm soil profiles across the conterminous US. Here we analyze data from RaCA to evaluate the C stocks of the CRP on a continental scale of both surface and subsurface soil. We found there was no difference in SOC stock between croplands and CRP lands when comparing the 0-100 cm soil profiles, which indicates that the C sequestration in CRP lands is insignificant overall. We did find that SOC accumulated in the surface soil (0–5 cm) in CRP lands. However, theses C gains in surface (0–5 cm) soil were offset by the lower SOC stock in the subsurface (30–100 cm) of the CRP. We also found that the C: N ratio in the subsurface soil in CRP lands is lower than that of croplands, indicating a lack of labile organic matter inputs in the subsoil. Whether the lower SOC in the subsurface of CRP is caused by legacy effects or is a result of C losses needs to be verified by long-term repeated sampling in both surface and subsurface soil. This analysis highlights the importance of examining C dynamics in subsurface soil after agricultural cessation to accurately measure and improve C sequestration rates in CRP lands.


Sign in / Sign up

Export Citation Format

Share Document