An experimental methodology for the in-situ observation of the time-dependent dielectric breakdown mechanism in Copper/low-k on-chip interconnect structures

Author(s):  
Kong Boon Yeap ◽  
M. Gall ◽  
C. Sander ◽  
S. Niese ◽  
Zhongquan Liao ◽  
...  
2003 ◽  
Vol 766 ◽  
Author(s):  
Ahila Krishnamoorthy ◽  
N.Y. Huang ◽  
Shu-Yunn Chong

AbstractBlack DiamondTM. (BD) is one of the primary candidates for use in copper-low k integration. Although BD is SiO2 based, it is vastly different from oxide in terms of dielectric strength and reliability. One of the main reliability concerns is the drift of copper ions under electric field to the surrounding dielectric layer and this is evaluated by voltage ramp (V-ramp) and time dependent dielectric breakdown (TDDB). Metal 1 and Metal 2 intralevel comb structures with different metal widths and spaces were chosen for dielectric breakdown studies. Breakdown field of individual test structures were obtained from V-ramp tests in the temperature range of 30 to 150°C. TDDB was performed in the field range 0.5 – 2 MV/cm. From the leakage between combs at the same level (either metal 1 or metal 2) Cu drift through SiC/BD or SiN/BD interface was characterized. It was found that Cu/barrier and barrier/low k interfaces functioned as easy paths for copper drift thereby shorting the lines. Cu/SiC was found to provide a better interface than Cu/SiN.


2013 ◽  
Vol 26 (3) ◽  
pp. 281-296
Author(s):  
E. Atanassova ◽  
A. Paskaleva

The effect of both the process-induced defects and the dopant on the time-dependent-dielectric breakdown in Ta2O5 stacks is discussed. The breakdown degradation is analyzed in terms of specific properties of high-k stacks which make their dielectric breakdown mechanism completely different from that of classical SiO2. The relative impact of a number of factors constituting the reliability issues in Ta2O5-based capacitors (trapping in pre-existing traps, stress-induced new traps generation, the presence of interface layer at Si and the role of the dopant) is clarified.


2008 ◽  
Vol 23 (6) ◽  
pp. 1802-1808 ◽  
Author(s):  
T.L. Tan ◽  
C.L. Gan ◽  
A.Y. Du ◽  
Y.C. Tan ◽  
C.M. Ng

Delamination at an interface with the weakest adhesion strength, which is found to be between the SiC(N) capping layer and the SiOCH low-k dielectric, is a potential failure mechanism contributing to time-dependent dielectric breakdown (TDDB) reliability. Bond breaking at that interface is believed to be driven by a field-enhanced thermal process and catalyzed by leakage current through the capping layer based on physical analyses and TDDB measurements. Delamination is found to be easier in terminated tips and corners than in parallel comb lines due to the layout orientation of the Cu lines. Moreover, TDDB activation energy Ea can be an indicator of the ease of delamination, whereby a lower Ea corresponds to an easier delamination.


Sign in / Sign up

Export Citation Format

Share Document