A Modeling Approach for Predicting the Abrasive Particle Motion During Chemical Mechanical Polishing

2007 ◽  
Vol 129 (4) ◽  
pp. 933-941 ◽  
Author(s):  
Elon J. Terrell ◽  
C. Fred Higgs III

Chemical mechanical polishing (CMP) is a manufacturing process in which a wafer surface is polished by pressing it against a rotating pad that is flooded with slurry. The slurry itself is a fluid containing abrasive particles. Past experimentation has shown that the distribution of suspended particles in the slurry is significantly related to the distribution of material removal on the wafer during CMP. Therefore, this study involves the development and simulation of a model that predicts the kinematics and trajectory of the abrasive particles. The simulation results compare well to data from shear cell experiments data conducted by other researchers.

2005 ◽  
Vol 127 (1) ◽  
pp. 190-197 ◽  
Author(s):  
Yeau-Ren Jeng ◽  
Pay-Yau Huang

Chemical Mechanical Polishing (CMP) is a highly effective technique for planarizing wafer surfaces. Consequently, considerable research has been conducted into its associated material removal mechanisms. The present study proposes a CMP material removal rate model based upon a micro-contact model which considers the effects of the abrasive particles located between the polishing interfaces, thereby the down force applied on the wafer is carried both by the deformation of the polishing pad asperities and by the penetration of the abrasive particles. It is shown that the current theoretical results are in good agreement with the experimental data published previously. In addition to such operational parameters as the applied down force, the present study also considers consumable parameters rarely investigated by previous models based on the Preston equation, including wafer surface hardness, slurry particle size, and slurry concentration. This study also provides physical insights into the interfacial phenomena not discussed by previous models, which ignored the effects of abrasive particles between the polishing interfaces during force balancing.


Author(s):  
Yeau-Ren Jeng ◽  
Pay-Yau Huang

Chemical Mechanical Polishing (CMP) is a highly effective technique for planarizing wafer surfaces. Consequently, considerable research has been conducted into its associated material removal mechanisms. The present study proposes a CMP material removal rate model based upon a micro-contact model which considers the effects of the abrasive particles located between the polishing interfaces, thereby the down force applied on the wafer is carried both by the deformation of the polishing pad asperities and by the penetration of the abrasive particles. It is shown that the current theoretical results are in good agreement with the experimental data published previously. In addition to such operational parameters as the applied down force, the present study also considers consumable parameters rarely investigated by previous models based on the Preston equation, including wafer surface hardness, slurry particle size, and slurry concentration. This study also provides physical insights into the interfacial phenomena not discussed by previous models, which ignored the effects of abrasive particles between the polishing interfaces during force balancing.


2010 ◽  
Vol 132 (4) ◽  
Author(s):  
Jianguo Xin

When a drop of coffee dries on the counter-top, it leaves a dense, ringlike stain along its perimeter. Solids immersed in a drying drop will migrate toward the edge of the drop and form a solid ring. Such phenomena create ringlike stains and happen for a wide variety of surfaces, solvents, and solutes. It is referred to as the coffee stain ring effect. The phenomenon is caused by the outward microfluidic flow of the solute within the drop, which is driven by the evaporation of solvent. We show that the mechanism for the ring effect contributes to the nonuniform material removal in chemical mechanical polishing (CMP), specifically, at edges of blanket wafers causing the edge effect or at edges and corners of protrusive features on patterned wafers inducing the doming effect; metal dishing and dielectric erosion. By controlling the evaporation profile of the solvent in the slurry layer between the wafer surface and the polishing pad, such as making grooves or embedding the abrasive particles on the pad, or delivering the slurry from the bottom of the pad, one can improve the uniformity of material removal during the CMP process.


2004 ◽  
Vol 471-472 ◽  
pp. 26-31 ◽  
Author(s):  
Jian Xiu Su ◽  
Dong Ming Guo ◽  
Ren Ke Kang ◽  
Zhu Ji Jin ◽  
X.J. Li ◽  
...  

Chemical mechanical polishing (CMP) has already become a mainstream technology in global planarization of wafer, but the mechanism of nonuniform material removal has not been revealed. In this paper, the calculation of particle movement tracks on wafer surface was conducted by the motion relationship between the wafer and the polishing pad on a large-sized single head CMP machine. Based on the distribution of particle tracks on wafer surface, the model for the within-wafer-nonuniformity (WIWNU) of material removal was put forward. By the calculation and analysis, the relationship between the motion variables of the CMP machine and the WIWNU of material removal on wafer surface had been derived. This model can be used not only for predicting the WIWNU, but also for providing theoretical guide to the design of CMP equipment, selecting the motion variables of CMP and further understanding the material removal mechanism in wafer CMP.


Tribology ◽  
2005 ◽  
Author(s):  
Elon J. Terrell ◽  
Venkata K. Jasti ◽  
C. Fred Higgs

Chemical mechanical polishing (CMP) has emerged as a commonly used method for achieving global surface planarization of micro-/nano-scale systems during fabrication. During CMP, the wafer to be polished is pressed against a rotating polymeric pad that is flooded with slurry. The motion of the wafer surface against the asperities of the pad and the abrasive nanoscale particles in the slurry causes the surface of the wafer to be polished to an atomically smooth level. Past studies have shown that the wear distribution is a function of the distribution of slurry particles in the wafer/pad interface, and thus it is desirable to model the migration of particles in order to predict the wear of the wafer surface. The current study involves the creation and simulation of a mathematical model which predicts the paths of slurry particles in a Lagrangian reference frame. The model predicts the effects of the various forces on each particle to determine its motion. The model also accounts for interparticle collisions and wafer/particle and pad/particle collisions. It is expected that the particle motion that is predicted from this model will allow for a more accurate correlation of the wafer surface wear distribution.


Author(s):  
A. Osorno ◽  
S. Tereshko ◽  
I. Yoon ◽  
S. Danyluk

Chemical-Mechanical Polishing is used to polish silicon wafers in the manufacturing of integrated circuits. Wafers are pressed, electronics side down, onto a rotating pad that is flooded with a slurry containing abrasive particles. The slurry is entrained in the interface and the abrasive particles slide against the silicon and polish it. Our previous work has shown that subambient pressures develop at the silicon/pad interface and we have measured this pressure and its distribution over the wafer surface (1). However, our experiments have been limited to those conditions where the pad rotates and the wafer slides on the pad but the wafer itself does not rotate. Our experiments showed a skewed pressure distribution. This paper describes experiments and pressure distribution measurements where the wafer, as well as the pad/platen is rotated (2). Specifically-designed wireless electronic transmitters and receivers were built and used to measure the interfacial pressures at the silicon/pad interface. Subambient stress maps and temperatures have been measured and Figure 1 shows an example of a skewed pressure distribution when the silicon is not rotated and Figure 2 shows the pressure distribution for the same wafer while it is rotating. The subambient pressures develop over a 2 second time period from when the rotation started. The pressure distributions are symmetric in spite of the lean and tilt of the wafers. The rotational speed and other variables have a big influence on the polishing rate and this will be discussed in the talk.


2009 ◽  
Vol 1157 ◽  
Author(s):  
Joseph Bonivel ◽  
Yusuf Williams ◽  
Sarah Blitz ◽  
Micheal Kuo ◽  
Ashok Kumar

AbstractWith the rapid change of materials systems and decreased feature size, thin film microstructure and mechanical properties have become critical parameters for microelectronics reliability. An example of a major driver of this new technology is the data storage community who is pushing for 1 Terabit/square inch on its magnetic disk hard drives. This requires inherent knowledge of the mechanical properties of materials and in depth understanding of the tribological phenomena involved in the manufacturing process. Chemical mechanical polishing (CMP) is a semi-conductor manufacturing process used to remove or planarize ultra-thin metallic, dielectric, or barrier films (copper) on silicon wafers. The material removal rate (MRR), which ultimately effects the surface topography, corresponding to CMP is given by the standard Preston Equation, which contains the load applied, the velocity of the pad, the Preston coefficient which includes chemical dependencies, and the hardness of the material. Typically the hardness, a bulk material constant, is taken as a constant throughout the wafer and thereby included in the Preston coefficient. Through metallurgy studies, on the micro and nano scale, it has been proven that the hardness is dependent upon grain size and orientation. This research served to first relate the crystallographic orientation to a specific hardness value and secondly use the hardness variation in the previously developed particle augmented mixed-lubrication (PAML) model to simulate the surface topography and MRR during CMP. Recent test and results show that currently there is no empirical formula to relate the crystallographic orientation and thereby a critically resolved shear stress (CRSS) to a specific hardness value. The second part of this investigation utilized the variation in hardness values from the initial study and incorporated these results into the PAML numerical model that incorporates all the physics of chemical mechanical polishing (CMP). Incorporation of the variation of hardness resulted in a surface topography with a difference in roughness (Ra) from the bulk constant hardness value of 60 nm. The material removal rate (MRR) of the process differs by 2.17 μm3/s.


2011 ◽  
Vol 704-705 ◽  
pp. 313-317
Author(s):  
Sheng Fang Zhang ◽  
Jian Xiu Su ◽  
Jia Xi Du ◽  
Ren Ke Kang

Chemical mechanical polishing (CMP) has become the most widely used planarization technology in the semiconductor manufacturing process. In this paper, the distinguish method of lubricating behavior in wafer CMP had been analyzed in theory firstly. Then, the tests of wafer CMP with silicon wafer and deposited copper wafer at different polishing pressure had been done. By the test results, the Stribeck curves obtained showed obvious smooth. But in normal wafer CMP conditions, the friction coefficient of polishing area was above 0.1. By analyzing the experimental results, it was concluded that the lubrication state in CMP interface is belong to the boundary lubrication and the material removal is the process of bringing and removed of the chemical reaction boundary lubricating film on wafer surface constantly. The contact form between the Wafer and the polishing pad is the solid-solid contact. These results will provide theoretical guide to further understand the material removal mechanism of in wafer CMP. Keywords: Chemical mechanical polishing, material removal mechanism, lubrication form, boundary lubrication.


Sign in / Sign up

Export Citation Format

Share Document