Coffee Stain Ring Effect and Nonuniform Material Removal in Chemical Mechanical Polishing

2010 ◽  
Vol 132 (4) ◽  
Author(s):  
Jianguo Xin

When a drop of coffee dries on the counter-top, it leaves a dense, ringlike stain along its perimeter. Solids immersed in a drying drop will migrate toward the edge of the drop and form a solid ring. Such phenomena create ringlike stains and happen for a wide variety of surfaces, solvents, and solutes. It is referred to as the coffee stain ring effect. The phenomenon is caused by the outward microfluidic flow of the solute within the drop, which is driven by the evaporation of solvent. We show that the mechanism for the ring effect contributes to the nonuniform material removal in chemical mechanical polishing (CMP), specifically, at edges of blanket wafers causing the edge effect or at edges and corners of protrusive features on patterned wafers inducing the doming effect; metal dishing and dielectric erosion. By controlling the evaporation profile of the solvent in the slurry layer between the wafer surface and the polishing pad, such as making grooves or embedding the abrasive particles on the pad, or delivering the slurry from the bottom of the pad, one can improve the uniformity of material removal during the CMP process.

2004 ◽  
Vol 471-472 ◽  
pp. 26-31 ◽  
Author(s):  
Jian Xiu Su ◽  
Dong Ming Guo ◽  
Ren Ke Kang ◽  
Zhu Ji Jin ◽  
X.J. Li ◽  
...  

Chemical mechanical polishing (CMP) has already become a mainstream technology in global planarization of wafer, but the mechanism of nonuniform material removal has not been revealed. In this paper, the calculation of particle movement tracks on wafer surface was conducted by the motion relationship between the wafer and the polishing pad on a large-sized single head CMP machine. Based on the distribution of particle tracks on wafer surface, the model for the within-wafer-nonuniformity (WIWNU) of material removal was put forward. By the calculation and analysis, the relationship between the motion variables of the CMP machine and the WIWNU of material removal on wafer surface had been derived. This model can be used not only for predicting the WIWNU, but also for providing theoretical guide to the design of CMP equipment, selecting the motion variables of CMP and further understanding the material removal mechanism in wafer CMP.


2005 ◽  
Vol 127 (1) ◽  
pp. 190-197 ◽  
Author(s):  
Yeau-Ren Jeng ◽  
Pay-Yau Huang

Chemical Mechanical Polishing (CMP) is a highly effective technique for planarizing wafer surfaces. Consequently, considerable research has been conducted into its associated material removal mechanisms. The present study proposes a CMP material removal rate model based upon a micro-contact model which considers the effects of the abrasive particles located between the polishing interfaces, thereby the down force applied on the wafer is carried both by the deformation of the polishing pad asperities and by the penetration of the abrasive particles. It is shown that the current theoretical results are in good agreement with the experimental data published previously. In addition to such operational parameters as the applied down force, the present study also considers consumable parameters rarely investigated by previous models based on the Preston equation, including wafer surface hardness, slurry particle size, and slurry concentration. This study also provides physical insights into the interfacial phenomena not discussed by previous models, which ignored the effects of abrasive particles between the polishing interfaces during force balancing.


Author(s):  
Yeau-Ren Jeng ◽  
Pay-Yau Huang

Chemical Mechanical Polishing (CMP) is a highly effective technique for planarizing wafer surfaces. Consequently, considerable research has been conducted into its associated material removal mechanisms. The present study proposes a CMP material removal rate model based upon a micro-contact model which considers the effects of the abrasive particles located between the polishing interfaces, thereby the down force applied on the wafer is carried both by the deformation of the polishing pad asperities and by the penetration of the abrasive particles. It is shown that the current theoretical results are in good agreement with the experimental data published previously. In addition to such operational parameters as the applied down force, the present study also considers consumable parameters rarely investigated by previous models based on the Preston equation, including wafer surface hardness, slurry particle size, and slurry concentration. This study also provides physical insights into the interfacial phenomena not discussed by previous models, which ignored the effects of abrasive particles between the polishing interfaces during force balancing.


2007 ◽  
Vol 129 (4) ◽  
pp. 933-941 ◽  
Author(s):  
Elon J. Terrell ◽  
C. Fred Higgs III

Chemical mechanical polishing (CMP) is a manufacturing process in which a wafer surface is polished by pressing it against a rotating pad that is flooded with slurry. The slurry itself is a fluid containing abrasive particles. Past experimentation has shown that the distribution of suspended particles in the slurry is significantly related to the distribution of material removal on the wafer during CMP. Therefore, this study involves the development and simulation of a model that predicts the kinematics and trajectory of the abrasive particles. The simulation results compare well to data from shear cell experiments data conducted by other researchers.


Author(s):  
A. Osorno ◽  
S. Tereshko ◽  
I. Yoon ◽  
S. Danyluk

Chemical-Mechanical Polishing is used to polish silicon wafers in the manufacturing of integrated circuits. Wafers are pressed, electronics side down, onto a rotating pad that is flooded with a slurry containing abrasive particles. The slurry is entrained in the interface and the abrasive particles slide against the silicon and polish it. Our previous work has shown that subambient pressures develop at the silicon/pad interface and we have measured this pressure and its distribution over the wafer surface (1). However, our experiments have been limited to those conditions where the pad rotates and the wafer slides on the pad but the wafer itself does not rotate. Our experiments showed a skewed pressure distribution. This paper describes experiments and pressure distribution measurements where the wafer, as well as the pad/platen is rotated (2). Specifically-designed wireless electronic transmitters and receivers were built and used to measure the interfacial pressures at the silicon/pad interface. Subambient stress maps and temperatures have been measured and Figure 1 shows an example of a skewed pressure distribution when the silicon is not rotated and Figure 2 shows the pressure distribution for the same wafer while it is rotating. The subambient pressures develop over a 2 second time period from when the rotation started. The pressure distributions are symmetric in spite of the lean and tilt of the wafers. The rotational speed and other variables have a big influence on the polishing rate and this will be discussed in the talk.


2011 ◽  
Vol 704-705 ◽  
pp. 313-317
Author(s):  
Sheng Fang Zhang ◽  
Jian Xiu Su ◽  
Jia Xi Du ◽  
Ren Ke Kang

Chemical mechanical polishing (CMP) has become the most widely used planarization technology in the semiconductor manufacturing process. In this paper, the distinguish method of lubricating behavior in wafer CMP had been analyzed in theory firstly. Then, the tests of wafer CMP with silicon wafer and deposited copper wafer at different polishing pressure had been done. By the test results, the Stribeck curves obtained showed obvious smooth. But in normal wafer CMP conditions, the friction coefficient of polishing area was above 0.1. By analyzing the experimental results, it was concluded that the lubrication state in CMP interface is belong to the boundary lubrication and the material removal is the process of bringing and removed of the chemical reaction boundary lubricating film on wafer surface constantly. The contact form between the Wafer and the polishing pad is the solid-solid contact. These results will provide theoretical guide to further understand the material removal mechanism of in wafer CMP. Keywords: Chemical mechanical polishing, material removal mechanism, lubrication form, boundary lubrication.


Author(s):  
Dinc¸er Bozkaya ◽  
Sinan Mu¨ftu¨

Chemical mechanical polishing (CMP) of ultra-low-k (ULK) dielectic materials is challenging, as they are susceptible to fracture under typical CMP pressures [1]. Low-pressure (lp) CMP is one of the solutions for polishing ULK dielectrics [1]. In order to implement lp-CMP the process should be optimized to maximize the material removal rate (MRR).


2006 ◽  
Vol 129 (2) ◽  
pp. 436-437 ◽  
Author(s):  
L. Chang

Understanding of the mechanisms of material removal is of fundamental importance in chemical-mechanical planarization of semiconductor wafers. A plausible mechanism at work is that the material is removed at the molecular scale by debonding the chemistry-weakened molecules at the wafer surface. A sequence of order-of-magnitude calculations is carried out to substantiate this mechanism of chemical-mechanical polishing (CMP) materials removal. The analysis may lend further credence to the mechanism in addition to its underlying theoretical foundation.


2001 ◽  
Author(s):  
Jhy-Cherng Tsai ◽  
Charls Liu ◽  
Ming-Hsih Tsai ◽  
Bao-Tong Dai

Abstract Low conductivity (low-k) dielectric material is used in the sandwich structure of next-generation semiconductor devices in order to reduce the RC time delay. While global flatness of wafer surface becomes critical for deep sub-micro semiconductor fabrication process, chemical-mechanical polishing (CMP) becomes one of the key technologies for planarization of wafer surface. This paper investigated the effect of the low-k material on the CMP of the SiO2 cap layer of such a sandwiched wafer. Two types of wafers, blanket wafer and wafer with circuit pattern, are designed and conducted to investigate the effects of the thickness of the low-k layer under different polishing pressures and velocities. Material removal rate (RR) and non-uniformity (NU) are used as indices of the CMP process performance. The results show that the RR and NU of wafers with low-k layer, either blanket or with circuit pattern, become better when the pressure or velocity increases. The thickness of the low-k layer, however, has only tiny effect on the RR and NU.


Author(s):  
Elon Terrell ◽  
Jonathan Garcia ◽  
C. Fred Higgs

Chemical mechanical polishing (CMP) is a manufacturing process that uses controlled wear to planarize dielectric and metallic layers on silicon wafers. The wafer is polished by pressing it against a rotating pad that is flooded with slurry. The slurry itself is a fluid containing abrasive particles. Material removal rate (MRR) results have shown that the distribution of suspended particles in the slurry is significantly related to the wafer surface wear distribution during CMP. In this study, a simple model has been developed and solved as a preliminary step in analyzing the migration of the particles.


Sign in / Sign up

Export Citation Format

Share Document