TiN Hardmask Etch Residue Removal for Cu Interconnect Fabrication

2014 ◽  
Vol 60 (1) ◽  
pp. 373-377
Author(s):  
H. Cui
2011 ◽  
Vol 2011 (DPC) ◽  
pp. 001596-001620
Author(s):  
Laura Mauer ◽  
John Taddei ◽  
Ramey Youssef ◽  
Kimberly Pollard ◽  
Allison Rector

3D integration is the most active methodology for increasing device performance. The ability to create Through Silicon Vias (TSV) provides the shortest path for interconnections and will result in increased device speed and reduced package footprint. There are numerous technical papers and presentations on the etching and filling of these vias, however the process for cleaning is seldom mentioned. Historically, after reactive ion etching (RIE), cleaning is accomplished using an ashing process to remove any remaining photoresist, followed by dipping the wafer in a solution-based post etch residue remover. However, in the case of TSV formation, deep reactive ion etching (DRIE) is used to create the vias. A byproduct of this etching process is the formation of a fluorinated passivation layer, often referred to as a fluoropolymer. The fluoropolymer is not easily removed using traditional post etch residue removers, thus creating the opportunity for new and improved formulations and processes for its removal. This paper will describe a robust cleaning process for one step removal of both the photoresist and sidewall polymer residues from TSVs. A combination soak and high pressure spray process using Dynastrip™ AP7880™-C, coupled with a megasonic final rinse provides clean results for high aspect ratio vias. SEM, EDX and Auger analysis will illustrate the cleanliness levels achieved.


2006 ◽  
Vol 153 (7) ◽  
pp. G712 ◽  
Author(s):  
Galit Levitin ◽  
Christopher Timmons ◽  
Dennis W. Hess
Keyword(s):  

2014 ◽  
Vol 219 ◽  
pp. 201-204 ◽  
Author(s):  
Els Kesters ◽  
Q.T. Le ◽  
D. Yu ◽  
M. Shen ◽  
S. Braun ◽  
...  

A possible way to realize a 22.5 nm 1⁄2 pitch and beyond BEOL interconnect structures within the low-kmaterial is the partial-trench via first with self-aligned double patterning (SADP) integration approach. A scheme of this BEOL integration stack with the different materials used after patterning is described in Figure 1. In BEOL processing, fluorocarbon-containing plasma is commonly used to pattern silica-based dielectric layers. During the patterning of the low-kdielectric layer, a thin layer of fluoropolymer (CFx-type residues) is intentionally deposited on the dielectric sidewalls and TiN hardmask to ensure anisotropic etching and prevent/minimize dielectric degradation. This polymer layer must be removed from the sidewall and the via bottom prior to the subsequent processing steps to achieve good adhesion and coverage of materials deposited in the etched features. The compatibility requirement is even more stringent for advanced low-kdielectrics, i.e. materials with lowerk-value and higher porosity. The post etch residue (PER) amount and properties are specific and depend on the stack structure and the plasma that is used for patterning. The low-kmaterials and hardmasks that are used in this work are respectively an organo-silicate glass (OSG) type of low-kmaterial withk= 2.4 (~20 % open porosity) and low-stress TiN. Recent results clearly showed the presence of a highly fluorinated layer deposited on the trench sidewalls during the plasma etch based on a fluorocarbon plasma [1-3]. Commodity aqueous cleaning solutions, such as diluted HF, do not efficiently remove the sidewall polymers without etching the underlying layer (lift-off). Therefore, there is a need for commercially available chemicals that can be easily tuned to deal with the different requirements. This study focuses on the use of FOTOPUR® R 2300 mixed with H2O2 for polymer residue removal selectively to other materials (presented in the stack) such as MHM, metals (Cu, W), and porous low-k dielectrics. We will show that TiN etch can be easily tuned by changing the concentration of H2O2.


2014 ◽  
Vol 219 ◽  
pp. 217-220 ◽  
Author(s):  
Hua Cui

TiN metal hardmask has been used to improve etch selectivity to low-k materials and thereby gain better profile control. For 14 nm and smaller technology nodes, it is required that the TiN hardmask is completely removed in order to improve the aspect ratio for subsequent reliable metal deposition. Thus, a chemical cleaning formulation with high TiN etch selectivity toward Cu and low-k is required.


2012 ◽  
Vol 187 ◽  
pp. 201-205 ◽  
Author(s):  
Nicole Ahner ◽  
Sven Zimmermann ◽  
Matthias Schaller ◽  
Stefan E. Schulz

Wet chemical plasma etch residue removal is a promising alternative to low-k dielectric degrading plasma cleaning processes. With decreasing feature dimensions the wetting behavior of the liquid on low energetic surfaces present after dielectric patterning will be an important issue in developing wet cleaning solutions. High surface energy liquids may not only be unable to wet low energetic surfaces, but can also cause nonwetting of small structures or pattern collapse. The improvement of the wetting behavior of a cleaning liquid by lowering its surface energy by the addition of surfactants is the strategy followed in this study. We show that with choosing the appropriate rinsing solution a wet chemical process using surfactant aided cleaning solutions compatible to the materials used in BEOL (porous low-k, copper, barriers) can be found. The results show a distinct improvement of the wetting behavior of the modified solutions on several low energetic solid surfaces like copper or polymers deposited during dry etching.


Author(s):  
Ingrid Vos ◽  
David Hellin ◽  
Guy Vereecke ◽  
Elizabeth Pavel ◽  
Werner Boullart ◽  
...  

2015 ◽  
Vol 2015 (1) ◽  
pp. 000121-000125
Author(s):  
Richard Peters ◽  
Yuanmei Cao ◽  
Kim Pollard ◽  
Don Pfettscher ◽  
Mike Phenis

The Bosch etch process is a critical process step used to create through silicon vias (TSVs) for 3D integrated circuit manufacturing. During the Bosch etch, a fluoropolymer passivation layer is formed on the sidewall of TSVs to help achieve a vertical profile and to protect the exposed dielectric materials. The fluoropolymer residue on the sidewalls in the TSVs must be removed prior to subsequent process steps. The highly fluorinated character of the fluorocarbon polymer residue makes its complete removal challenging due to characteristics such as limited solubility in solvents and slow or no reactivity with components of common cleaning or strip solutions. In this paper, the results of a study of solvents for developing formulations for removal of Bosch etch residue from TSVs are presented. The selection of components for an etch residue remover must take into consideration several key factors including removal efficiency, environmental-health-safety (EHS) guidelines, and material cost. The results demonstrate that the solvent selection has a dramatic impact on polymer removal efficiency, where poor solvent selection can lead to the formation of polymer balls inside the vias. The reported studies include cleaning results using a combination of polar solvents including protic and aprotic solvents, and amide and non-amide solvents. The cleaning performance is compared with a prediction using Hansen solubility parameters. Complete residue removal using TMAH-free and NMP-free formulations for TSV diameters down to 5 μm is demonstrated. Scanning electron microscopy, (SEM), energy-dispersive X-ray spectroscopy (EDS), and Auger electron spectroscopy (AES) were used to characterize the cleaning performance.


Sign in / Sign up

Export Citation Format

Share Document