The effects of passivation layer and film thickness on the mechanical behavior of freestanding electroplated Cu thin films with constant microstructure

2003 ◽  
Vol 795 ◽  
Author(s):  
Yong Xiang ◽  
Joost J. Vlassak ◽  
Maria T. Perez-Prado ◽  
Ting Y. Tsui ◽  
Andrew J. McKerrow

ABSTRACTThe goal of this paper is to investigate the effects of film thickness and the presence of a passivation layer on the mechanical behavior of electroplated Cu thin films. In order to study the effect of passivating layers, freestanding Cu membranes were prepared using standard silicon micromachining techniques. Some of these Cu membranes were passivated by sputter depositing thin Ti films with thicknesses ranging from 20 nm to 50 nm on both sides of the membrane. The effect of film thickness was evaluated by preparing freestanding films with varying thickness but constant microstructure. To that effect, coatings of a given thickness were first vacuum annealed at elevated temperature to stabilize the microstructure. The annealed films were subsequently thinned to various thicknesses by means of chemical mechanical planarization (CMP) and freestanding membranes were prepared both with and without Ti passivation. The stress-strain curves of the freestanding Cu films were evaluated using the bulge test technique. The residual stress and elastic modulus of the film are not affected significantly by the passivation layer. The elastic modulus does not change with film thickness if the microstructure keeps constant. The yield stress increases if the film is passivated. For passivated films, yield stress is proportional to the inverse of the film thickness, which is consistent with the formation of a boundary layer of high dislocation density near the interfaces.

2001 ◽  
Vol 695 ◽  
Author(s):  
Yong Xiang ◽  
Xi Chen ◽  
Joost J. Vlassak

ABSTRACTThe mechanical properties of freestanding electroplated Cu films were determined by measuring the deflection of Si-framed, pressurized membranes. The films were deformed under plane-strain conditions. The pressure-deflection data are converted into stress-strain curves by means of simple analytical formulae. The microstructure of the Cu films was characterized using scanning electron microscopy and x-ray diffraction. The yield stress, Young's modulus, and residual stress were determined as a function of film thickness and microstructure. Both yield stress and Young's modulus increase with decreasing film thickness and correlate well with changes in the microstructure and texture of the films.


1999 ◽  
Vol 594 ◽  
Author(s):  
R. Spolenak ◽  
C. A. Volkert ◽  
K. Takahashi ◽  
S. Fiorillo ◽  
J. Miner ◽  
...  

AbstractIt is well known that the mechanical properties of thin films depend critically on film thickness However, the contributions from film thickness and grain size are difficult to separate, because they typically scale with each other. In one study by Venkatraman and Bravman, Al films, which were thinned using anodic oxidation to reduce film thickness without changing grain size, showed a clear increase in yield stress with decreasing film thickness.We have performed a similar study on both electroplated and sputtered Cu films by using chemical-mechanical polishing (CMP) to reduce the film thickness without changing the grain size. Stress-temperature curves were measured for both the electroplated and sputtered Cu films with thicknesses between 0.1 and 1.8 microns using a laser scanning wafer curvature technique. The yield stress at room temperature was found to increase with decreasing film thickness for both sets of samples. The sputtered films, however, showed higher yield stresses in comparison to the electroplated films. Most of these differences can be attributed to the different microstructures of the films, which were determined by focused ion beam (FIB) microscopy and x-ray diffraction.


2004 ◽  
Vol 19 (9) ◽  
pp. 2650-2657 ◽  
Author(s):  
Alex A. Volinsky ◽  
Neville R. Moody ◽  
William W. Gerberich

This paper describes the nanoindentation technique for measuring sputter-deposited Au and Cu thin films’ mechanical properties at elevated temperatures up to 130 °C. A thin, 5-nm Pt layer was deposited onto the Cu film to prevent its oxidation during testing. Nanoindentation was then used to measure elastic modulus and hardness as a function of temperature. These tests showed that elastic modulus and hardness decreased as the test temperature increased from 20 to 130 °C. Cu films exhibited higher hardness values compared to Au, a finding that is explained by the nanocrystalline structure of the film. Hardness was converted to the yield stress using both the Tabor relationship and the inverse method (based on the Johnson cavity model). The thermal component of the yield-stress dependence followed a second-order polynomial in the temperature range tested for Au and Pt/Cu films. The decrease in yield stress at elevated temperatures accounts for the increased interfacial toughness of Cu thin films.


2006 ◽  
Vol 21 (6) ◽  
pp. 1607-1618 ◽  
Author(s):  
Y. Xiang ◽  
T.Y. Tsui ◽  
J.J. Vlassak

The plane-strain bulge test is used to investigate the mechanical behavior of freestanding electroplated Cu thin films as a function of film thickness and microstructure. The stiffness of the films increases slightly with decreasing film thickness because of changes in the crystallographic texture and the elastic anisotropy of Cu. Experimental stiffness values agree well with values derived from single-crystal elastic constants and the appropriate orientation distribution functions. No modulus deficit is observed. The yield stress of the films varies with film thickness and heat treatment as a result of changes in the grain size of the films. The yield stress follows typical Hall-Petch behavior if twins are counted as distinct grains, indicating that twin boundaries are effective barriers to dislocation motion. The Hall-Petch coefficient is in good agreement with values reported for bulk Cu. Film thickness and crystallographic texture have a negligible effect on the yield stress of the films.


2010 ◽  
Vol 50 (9-11) ◽  
pp. 1888-1893 ◽  
Author(s):  
H. Youssef ◽  
A. Ferrand ◽  
P. Calmon ◽  
P. Pons ◽  
R. Plana

2010 ◽  
Vol 24 (15n16) ◽  
pp. 2530-2536
Author(s):  
MITSUHIKO SHINOHARA ◽  
TAKAO HANABUSA ◽  
KAZUYA KUSAKA

Since the thin film technology is applied to micro-machines, MEMS (micro electro-mechanical system), optical devices and others, the evaluation of mechanical properties in thin films becomes to be important. On the other hand, there are differences in mechanical properties between bulk materials and thin films, but studies in this field have not yet been made enough. The present paper reports on the evaluation of the mechanical properties of Cu thin films with and without AlN passivation layer. Specimens with different thickness of Cu film were subjected to cyclic plane bending fatigue test. Residual stresses developed in the Cu films were measured in a sequence of bending cycles using X-ray diffraction method in order to understand the effect of film thickness and passivation layer on mechanical properties of Cu thin films.


2009 ◽  
Vol 24 (9) ◽  
pp. 2974-2985 ◽  
Author(s):  
Erik G. Herbert ◽  
Warren C. Oliver ◽  
Maarten P. de Boer ◽  
George M. Pharr

A new method is proposed to determine the elastic modulus and residual stress of freestanding thin films based on nanoindentation techniques. The experimentally measured stiffness-displacement response is applied to a simple membrane model that assumes the film deformation is dominated by stretching as opposed to bending. Dimensional analysis is used to identify appropriate limitations of the proposed model. Experimental verification of the method is demonstrated for Al/0.5 wt% Cu films nominally 22 µm wide, 0.55 µm thick, and 150, 300, and 500 µm long. The estimated modulus for the four freestanding films match the value measured by electrostatic techniques to within 2%, and the residual stress to within 19.1%. The difference in residual stress can be completely accounted for by thermal expansion and a modest change in temperature of 3 °C. Numerous experimental pitfalls are identified and discussed. Collectively, these data and the technique used to generate them should help future investigators make more accurate and precise measurements of the mechanical properties of freestanding thin films using nanoindentation.


1993 ◽  
Vol 8 (2) ◽  
pp. 237-238 ◽  
Author(s):  
C.V. Thompson

In recent experiments it has been shown that the yield stress of polycrystalline thin films depends separately on the film thickness and the grain size. It was also shown that the grain size dependence varies as the reciprocal of the grain size. In this paper an analysis is presented which leads to these results and provides a more detailed understanding of the origins of the observed behavior.


Sign in / Sign up

Export Citation Format

Share Document