scholarly journals Deep Reactive Ion Etching of Z-Cut Alpha Quartz for MEMS Resonant Devices Fabrication

Micromachines ◽  
2020 ◽  
Vol 11 (8) ◽  
pp. 724
Author(s):  
Bo Li ◽  
Cun Li ◽  
Yulong Zhao ◽  
Chao Han ◽  
Quanwei Zhang

Quartz is widely used in microelectromechanical systems (MEMS). Especially, MEMS quartz resonators are applied to sensors and serve as sensitive elements. The capability of deep etching is a limitation for the application. Presented in this paper is a deep and high accuracy reactive ion etching method applied to a quartz resonator etching process with a Cr mask. In order to enhance the capability of deep etching and machining accuracy, three kinds of etching gas (C4F8/Ar, SF6/Ar and SF6/C4F8/Ar), bias power, inductively coupled plasma (ICP) power and chamber pressure were studied in an industrial reactive ion etching machine (GDE C200). Results indicated that the SF6/C4F8/Ar chemistry gas is the suitable and optimal choice. Experiment results indicate that Cr (chromium) mask can obtain a higher selectivity than aluminum and titanium mask. A “sandwich” structure composed of Al layer-Cr layer-Al layer-Cr layer was proposed. The Al (aluminum) film can play the role of releasing stress and protecting gold electrodes, which can enhance the thickness of metal mask. An optimized process using SF6/C4F8/Ar plasmas showed the quartz etching rate of 450 nm/min. Meanwhile, a microchannel with a depth of 75.4 µm is fabricated, and a nearly vertical sidewall profile, smooth surface is achieved.

Author(s):  
Aleksandr M. Efremov ◽  
Vladimir V. Rybkin ◽  
Vladimir B. Betelin ◽  
Kwang-Ho Kwon

The effects of both HBr/O2 and Cl2/O2 mixing ratios in HBr+Cl2+O2 gas mixture on plasma parameters, steady-state densities of active species and Si etching kinetics were studied under the typical conditions of reactive ion etching process: total gas pressure (p = 10 mTorr), input power (W = 500 W), bias power (Wdc = 200 W). The data on internal plasma parameters and plasma chemistry were obtained using a combination of Langmuir probe diagnostics and 0-dimensional (global) plasma modeling. It was found that the variation in HBr/O2 mixing ratio at constant Cl2 fraction in a feed gas is characterized by the stronger impact on the steady-state plasma composition through both electron-impact and atom-molecular reaction kinetics as well as allows one to obtain the wider change in the total halogen atom density. It was shown that changes in both HBr/O2 and Cl2/O2 mixing ratios toward O2-rich plasmas lowers the Si etching rate that exhibits no evident correlations with total halogen atom flux and ion energy flux. The model-based analysis of Si etching kinetics allowed one to conclude that the effective reaction probability for Si + Cl/Br heterogeneous reaction depends on the flux of oxidative species – oxygen atoms and OH radicals. The reasons may be 1) the oxidation of silicon resulting in higher reaction threshold energy; and 2) the decreasing fraction of free adsorption sites for Cl/Br atoms due to the oxidation of reaction products into the lower volatile SiBrxOy and SiClxOy compounds.


Micromachines ◽  
2020 ◽  
Vol 11 (9) ◽  
pp. 864 ◽  
Author(s):  
Zhitian Shi ◽  
Konstantins Jefimovs ◽  
Lucia Romano ◽  
Marco Stampanoni

The key optical components of X-ray grating interferometry are gratings, whose profile requirements play the most critical role in acquiring high quality images. The difficulty of etching grating lines with high aspect ratios when the pitch is in the range of a few micrometers has greatly limited imaging applications based on X-ray grating interferometry. A high etching rate with low aspect ratio dependence is crucial for higher X-ray energy applications and good profile control by deep reactive ion etching of grating patterns. To achieve this goal, a modified Coburn–Winters model was applied in order to study the influence of key etching parameters, such as chamber pressure and etching power. The recipe for deep reactive ion etching was carefully fine-tuned based on the experimental results. Silicon gratings with an area of 70 × 70 mm2, pitch size of 1.2 and 2 μm were fabricated using the optimized process with aspect ratio α of ~67 and 77, respectively.


Materials ◽  
2021 ◽  
Vol 15 (1) ◽  
pp. 123
Author(s):  
Katarzyna Racka-Szmidt ◽  
Bartłomiej Stonio ◽  
Jarosław Żelazko ◽  
Maciej Filipiak ◽  
Mariusz Sochacki

The inductively coupled plasma reactive ion etching (ICP-RIE) is a selective dry etching method used in fabrication technology of various semiconductor devices. The etching is used to form non-planar microstructures—trenches or mesa structures, and tilted sidewalls with a controlled angle. The ICP-RIE method combining a high finishing accuracy and reproducibility is excellent for etching hard materials, such as SiC, GaN or diamond. The paper presents a review of silicon carbide etching—principles of the ICP-RIE method, the results of SiC etching and undesired phenomena of the ICP-RIE process are presented. The article includes SEM photos and experimental results obtained from different ICP-RIE processes. The influence of O2 addition to the SF6 plasma as well as the change of both RIE and ICP power on the etching rate of the Cr mask used in processes and on the selectivity of SiC/Cr etching are reported for the first time. SiC is an attractive semiconductor with many excellent properties, that can bring huge potential benefits thorough advances in submicron semiconductor processing technology. Recently, there has been an interest in SiC due to its potential wide application in power electronics, in particular in automotive, renewable energy and rail transport.


2010 ◽  
Vol 1258 ◽  
Author(s):  
Yung-Jr Hung ◽  
San-Liang Lee ◽  
Brian J. Thibeault ◽  
Larry A. Coldren

AbstractA simple and efficient approach for fabricating silicon nanopillar arrays with a high aspect ratio and controllable sidewall profiles has been developed by using holographic lithography and a novel single-step deep reactive ion etching. During the etching process, scalloping of the sidewalls can be avoided while reserving the high mask selectivity and high etching rate. Besides, the sidewall angle of resultant patterns can be adjusted by tuning the composition of the gas mixture of single-step DRIE process. We further fabricate a tapered silicon nanopillar array and observe its photonic bandgap property. We believe that the good optical performance of this tapered silicon nanopillar array realized by the proposed approach shows the promising of this process for various applications.


Sign in / Sign up

Export Citation Format

Share Document