Fluorocarbon plasma etching of silicon: Factors controlling etch rate

2004 ◽  
Vol 96 (1) ◽  
pp. 65-70 ◽  
Author(s):  
David Humbird ◽  
David B. Graves
Author(s):  
Jae Ho Choi ◽  
JiSob Yoon ◽  
YoonSung Jung ◽  
Kyung Won Min ◽  
Won Bin Im ◽  
...  

2000 ◽  
Vol 657 ◽  
Author(s):  
Junting Liu ◽  
Nikolay I. Nemchuk ◽  
Dieter G. Ast ◽  
J. Gregory Couillard

ABSTRACTMicro-machined transparent components are of interest for optical MEMS and miniaturized biological systems. The glass ceramic GC6 developed by Corning is optically transparent, has a softening point in excess of 900°C, and a thermal expansion coefficient matched to silicon. These properties make it useful for the construction of devices that combine thin film silicon electronics with MEMS systems.Both the ceramic precursor (green glass) and the glass ceramic etch at a similar rate, about 1/3 to 1/4 of that of SiO2 etched under the same conditions, indicating that chemistry rather than microstructure control the etch rate. The cleaning steps used to clean the glass precursor profoundly influence the degree of surface roughness that develops during subsequent plasma etching. In glass ceramics, the morphology of plasma etched surface is always very smooth and independent of the cleaning steps used. Assuming that the removal of spinel crystals is the rate limiting step in plasma etching glass ceramics can explain this observation.


2021 ◽  
Vol 39 (5) ◽  
pp. 053002
Author(s):  
Clint D. Frye ◽  
Scott B. Donald ◽  
Catherine Reinhardt ◽  
Lars F. Voss ◽  
Sara E. Harrison

Author(s):  
Francio Rodrigues ◽  
Luiz Felipe Aguinsky ◽  
Alexander Toifl ◽  
Alexander Scharinger ◽  
Andreas Hossinger ◽  
...  

1991 ◽  
Vol 223 ◽  
Author(s):  
E. Ikawa ◽  
K. Tokashiki ◽  
T. Kikkawa ◽  
Y. Teraoka ◽  
I. Nishiyama

ABSTRACTThe influence of HBr discharge ambience on SiO2 etching is investigated. A batch type parallel-plate reactive ion etching (RIE) dry etcher was used. The discharge ambience was changed by changing the numbers of poly-Si and SiO2 wafers in the same chamber. It is found that as the number of poly-Si wafers increased, the poly-Si etch rate slightly decreased due to a loading effect and SiO2 etching rate drastically increased. The selectivity of poly-Si / SiO2 decreased with increasing the ratio of the loaded poly-Si number in the same chamber. When A12O3 wafers instead of poly-Si wafers were loaded with SiO2 substrates, SiO2 etching rate enhancement did not occur. Therefore, increase of SiO2 etch rate could not be explained using a loading effect. From the results of mass analysis during etching in Si contained HBr plasma, etching products SiBrx (x=1,2,3) peaks were observed. Namely, when the Si etching products were supplied to SiO2 surface, SiO2 etch rate increased. In order to suppress the enhancement of SiO2 etch rate, the etch temperature must be reduced.


2013 ◽  
Vol 740-742 ◽  
pp. 825-828 ◽  
Author(s):  
Jerome Biscarrat ◽  
Jean François Michaud ◽  
Emmanuel Collard ◽  
Daniel Alquier

Due to its inert chemical nature, plasma etching is the most effective technique to pattern SiC. In this paper, dry etching of 4H-SiC substrate in Inductively Coupled Plasma (ICP) has been studied in order to evaluate the impact of process parameters on the characteristics of etching such as etch rate and trenching effect. Key process parameters such as platen power and ICP coil power prove to be essential to control the SiC etch rate. On the other hand, the ICP coil power and the working pressure mainly master the trenching effect. Our results enlighten that high etch rate with minimal trenching effect can be obtained using high ICP coil power and low working pressure.


2008 ◽  
Vol 1108 ◽  
Author(s):  
Xiaoyan Xu ◽  
Vladimir Kuryatkov ◽  
Boris Borisov ◽  
Mahesh Pandikunta ◽  
Sergey A Nikishin ◽  
...  

AbstractThe effect of BCl3 and BCl3/Ar pretreatment on Cl2/Ar and Cl2/Ar/BCl3 dry etching of AlN is investigated using inductively coupled plasma reactive ion etching. The native AlN oxide can be effectively removed by a short exposure to BCl3 or BCl3/Ar plasma. Compared to the chlorine based plasma etching, BCl3/Ar is found to have the highest etch rate for both AlN and its native oxide. Following removal of the native oxide, Cl2/Ar/BCl3 plasma etching with 15% BCl3 fraction results in a high etch rate ˜ 87 nm/min and modest increases in the surface roughness.


Sign in / Sign up

Export Citation Format

Share Document