The Relative Friction Force Contributions of Polishing Pads and Slurries During Chemical Mechanical Polishing

Author(s):  
Joseph A. Levert ◽  
Chad S. Korach

Next generation integrated circuits (IC’s) will require the use of porous dielectric materials with shear strengths much lower than the currently used dense silicon dioxide. The high friction of CMP (chemical mechanical polishing) may damage these porous dielectric materials. This research is being performed to define the nanoscale source of this poorly understood CMP friction to enable development of less damaging CMP processes. It is proposed that the nanoscale friction on the IC from CMP is a variable combination of two-body pad nanoasperity to IC contact and three-body nanocontact of the slurry particle between the pad nanoasperity and the IC surface. This research uses a combination of individual nanoscale friction measurements for CMP of SiO2, an analytical model to sum these effects, and bench scale CMP experiments to guide the research and validate the model.

Author(s):  
Joo Hoon Choi ◽  
Yangro Lee ◽  
Louis E. DeMarco ◽  
Richard T. Leveille ◽  
Joseph A. Levert ◽  
...  

The feature sizes on Integrated Circuits (ICs) continue to decrease to provide higher device densities and smaller chip designs. To accomplish this, current fabrication and processing technology must be advanced to achieve these goals. In particular, Chemical Mechanical Polishing (CMP), which is used for planarization of wafers and logic circuit components during IC fabrication, can cause severe surface damage to components in the form of delamination or distortion of surface features. CMP utilizes polishing particles suspended between a polymeric pad and the substrate to be polished. To control the process with higher precision the fundamentals of friction between CMP surfaces need to be analyzed. To investigate the friction contributions of the polishing particles in the CMP process, individual CMP abrasive particles are modeled by a silica atomic force microscope (AFM) probe with a radius of curvature on the order of 200 nm that is utilized in a scanning probe microscope (SPM). Lateral forces are measured that occur in simulated polishing of silica substrates and polyurethane pad material in a liquid environment. Results are obtained as a function of pH and environment and are compared with macroscopic friction results obtained using a high precision tribometer with a glass ball.


Author(s):  
X. H. Zhang ◽  
Z. J. Pei ◽  
Graham R. Fisher

Silicon wafers are the fundamental building blocks for most integrated circuits. Chemical mechanical polishing is used to manufacture silicon wafers as the final material removal process to meet the ever-increasing demand for flatter wafers and lower prices. The polishing pad is one of the critical factors in planarizing wafer surfaces and its properties play critical roles in polishing. However, pad properties change during the process. This paper reviews the measurement methods for thickness, hardness, and Young’s modulus of polishing pads.


2009 ◽  
Vol 156 (7) ◽  
pp. H535
Author(s):  
Te-Ming Kung ◽  
Chuan-Pu Liu ◽  
Shih-Chieh Chang ◽  
Kei-Wei Chen ◽  
Ying-Lang Wang

1994 ◽  
Vol 337 ◽  
Author(s):  
Rajeev Bajaj ◽  
Mukesh Desai ◽  
Rahul Jairath ◽  
Matthew Stell ◽  
Robert Tolles

ABSTRACTChemical mechanical polishing (CMP) technology has successfully met the stringent requirements of ultraplanarized surfaces in semiconductor manufacture. Commonly, polyurethane based pads have been used to achieve this level of planarization. Recent studies have shown that the material properties of polishing pads used in the CMP process strongly influence the ability to reduce topography. In addition, past work has shown that in the absence of pad regeneration, polishing rate drops dramatically with polishing time. This decrease in material removal rate is believed to coincide with deterioration of the pad surface due to “cold flow” and/or “caking” of the pad material. This study attempts to correlate the intrinsic polymer properties and cellular structure of the pad material to CMP process indices like polishing rate and planarity. For example, the drop off in removal rate as a function of time can be attributed to the mechanical response of polyurethanes under conditions of critical shear. Moreover, planarity achieved is a function of pad stiffness - which itself is dependant upon intrinsic polymer stiffness and cell density.


2003 ◽  
Vol 767 ◽  
Author(s):  
Jeffrey A. Lee ◽  
Mansour Moinpour ◽  
Huey-Chiang Liou ◽  
Thomas Abell

AbstractThe drive for improved performance of microelectronic devices has led to the prevalence of copper metallization and the aggressive development of low-permittivity (low-κ) dielectric materials for use as interlayer dielectrics in BEOL interconnect structures. Progressive scaling of metal line widths coupled with the need to incorporate ultra low-κ (ULK) dielectrics, with κ<2.2, presents numerous challenges for integration and reliability. Perhaps the most significant challenge for the 90nm technology node and beyond is successful planarization of Cuinterconnect structures by chemical mechanical polishing (CMP). The present paper will discuss the general integration challenges and key structural reliability issues for chemical mechanical polishing of Cu-interconnects incorporating ULK dielectric materials.


Author(s):  
A. Osorno ◽  
S. Tereshko ◽  
I. Yoon ◽  
S. Danyluk

Chemical-Mechanical Polishing is used to polish silicon wafers in the manufacturing of integrated circuits. Wafers are pressed, electronics side down, onto a rotating pad that is flooded with a slurry containing abrasive particles. The slurry is entrained in the interface and the abrasive particles slide against the silicon and polish it. Our previous work has shown that subambient pressures develop at the silicon/pad interface and we have measured this pressure and its distribution over the wafer surface (1). However, our experiments have been limited to those conditions where the pad rotates and the wafer slides on the pad but the wafer itself does not rotate. Our experiments showed a skewed pressure distribution. This paper describes experiments and pressure distribution measurements where the wafer, as well as the pad/platen is rotated (2). Specifically-designed wireless electronic transmitters and receivers were built and used to measure the interfacial pressures at the silicon/pad interface. Subambient stress maps and temperatures have been measured and Figure 1 shows an example of a skewed pressure distribution when the silicon is not rotated and Figure 2 shows the pressure distribution for the same wafer while it is rotating. The subambient pressures develop over a 2 second time period from when the rotation started. The pressure distributions are symmetric in spite of the lean and tilt of the wafers. The rotational speed and other variables have a big influence on the polishing rate and this will be discussed in the talk.


1994 ◽  
Vol 337 ◽  
Author(s):  
Rahul Jairath ◽  
Mukesh Desai ◽  
Matt Stell ◽  
Robert Tolles ◽  
Debra Scherber-Brewer

ABSTRACTChemical mechanical polishing (CMP) is rapidly becoming the process of choice for planarizing dielectrics in very large scale integrated circuits. In addition, it is being used at an increasing rate in the removal of metals in order to define conducting levels. In the case of dielectric CMP, planarization ability is dictated by the mechanical aspects of polishing such as pad rigidity, polishing pressure and speed of the polishing platen, while inherent removal rate of the dielectric material is generally a function of the polishing chemistry. Polishing rate of both, dielectric and metallic films can be significantly increased by changing the nature of the dispersed abrasive in the slurry and that of the dispersing agent. However, such changes have profound implications to the surface quality, planarity, and cleaning of the polished surface. In addition, the polishing pad plays an important role in manufacturability of metal CMP processes. This work reviews the chemistry of polishing slurries containing silica, ceria and alumina abrasives for dielectric and metal CMP. Also, the contribution of the polishing pad to CMP processes is explained. The need for balancing the chemical and mechanical aspects of polishing in order to achieve overall planarization and pattern definition is demonstrated.


Sign in / Sign up

Export Citation Format

Share Document