Chemical-Mechanical Planarization of Copper: The Effect of Inhibitor and Complexing Agent

2003 ◽  
Vol 767 ◽  
Author(s):  
Ying Luo ◽  
Tianbao Du ◽  
Vimal Desai

AbstractThe present investigation was focused on understanding of the oxidation, dissolution and modification of Cu surface in slurries at various pH using hydrogen peroxide as oxidizer, glycine as complexing agent and 3-amino-triazol (ATA) as inhibitor during Cu-CMP. The electrochemical process involved in the oxidative dissolution of copper was investigated by potentiodynamic polarization studies. Surface modification of copper was investigated using Xray photoelectron spectroscopy to understand the interaction of Cu-H2O2-glycine-ATA during CMP. In the absence of glycine and ATA, the copper removal rate is found to be high in a slurry with 5% H2O2 at pH 2, then it decreases with increasing pH and reaches the minimum at pH 6, it continuously increases at alkaline condition. In the presence of 0.01M glycine, the removal rate of copper decreases in acidic slurries while increases significantly in alkaline slurries. With the further addition of ATA, the copper removal rate was reduced. However, better surface planarity was obtained. The present investigation enhanced understanding of the mechanism of Cu CMP in the presence of oxidizer, complexing agent and inhibitor for formulation of a highly effective CMP-slurry.

2005 ◽  
Vol 867 ◽  
Author(s):  
Serdar Aksu

AbstractChemical mechanical planarization (CMP), which can globally planarize both silicon dioxide (the prevalent interlayer dielectric), and copper films, has become the key process in the damascene method used for producing integrated circuit (IC) devices with multilevel copper interconnects. Cu CMP is typically carried out with slurries containing oxidizing agents, complexing agents, and corrosion inhibitors as the principal chemical components. In such slurries, complexing agents enhance the solubility of copper and increase the dissolution rate of the abraded material in Cu CMP. They also assist achieving high copper removal rates during dynamic polishing conditions. The nature of the complexing agent used, the pH and the redox potential of the slurry system are among the main factors controlling the dissolution and passivation behaviors of copper during CMP. Consequently, these factors are intimately related to the key CMP performance metrics such as removal rate and planarity. In this paper, potentialpH diagrams of copper in aqueous systems containing a number of organic complexing agents including ethylenediaminetetraacetic acid (EDTA), nitrilotriacetic acid (NTA), oxalic acid and malonic acid are presented. The predominance regions of copper complexes under different copper and ligand activities and their implications on copper removal during CMP are discussed.


2004 ◽  
Vol 816 ◽  
Author(s):  
D. Zeidler ◽  
J.W. Bartha ◽  
W.L ortz ◽  
R. Brandes

AbstractNew abrasive particles based on SiO2 and Al2O3 were produced with different coating and doping. Seven specifically designed particles were dispersed to prepare slurries for Cu CMP. Glycin was used as complexing agent and hydrogenperoxid as oxidizer. The experimentally obtained removal rate, selectivity, surface quality and planarisation ability, demonstrate a significant impact of the different abrasives tested. SiO2 particles covered with Al2O3 increased the removal rate for Cu. In comparison to this behavior, a low rate for TaN proved a high selectivity copper removal required by the Cu CMP process. A new method for the planarisation length monitoring (step polish response) shows also significant differences in planarisation length (PL) by the polish of copper with slurries composed of these new particles.


2004 ◽  
Vol 816 ◽  
Author(s):  
Arun Vijayakumar ◽  
Tianbao Du ◽  
Kalpathy B. Sundaram ◽  
Vimal Desai

AbstractChemical mechanical planarization (CMP) has found extensive application in the fabrication of micro-electro-mechanical systems (MEMS). Nickel and Nickel based alloys are known to possess favorable properties that make them promising candidates to realize movable structures for MEMS applications. The development of CMP slurry chemistry for Ni that provides good CMP performance is the key in enabling CMP technology for Nickel based MEMS device fabrication. Unfortunately, very little work has been reported in terms of the electrochemical interaction of Ni with various CMP slurry constituents such as oxidizers, complexants and inhibitors. In this study, CMP of nickel was performed using H2O2 as oxidizer and alumina particles as abrasives. Electrochemical techniques were used to investigate the dissolution/passivation behavior of high-purity Ni under static and dynamic conditions in slurries at pH 4 with the addition of oxidizer, complexing agent and nickel salt. The affected surface layers of the statically etched Ni-disc were investigated using X-ray photoelectron spectroscopy (XPS). The Ni removal rate increased with the addition of oxidizer and further increased with the addition of complexing agent and nickel salt. The electrochemical results indicate that the surface chemistry and electrochemical characteristic of Ni play an important role in controlling the polishing behavior.


2013 ◽  
Vol 634-638 ◽  
pp. 2949-2954
Author(s):  
Xin Liang Tang ◽  
Yu Ling Liu ◽  
Hong Yuan Zhang ◽  
Jie Bao

Silica abrasive plays an important role in chemical mechanical planarization (CMP) of copper. In this paper, effect of different silica abrasive concentrations on copper removal rate and planarization performance of copper was investigated. The results show that the copper removal rate was increased as the concentration of silica abrasive increase. However, excessive abrasive will lead to a decreased copper removal rate. The initial step height values of the multilayer copper wafers were all about 2500Å, and after being polished for 30s, the remaining values of step height of slurry A, B, C and D were 717 Å, 906 Å, 1222 Å and 1493 Å. It indicates that alkaline copper slurries with different abrasive concentrations all had a good planarization performance on copper patterned wafer CMP. As the abrasive concentration increased, the planarization capability was enhanced.


2006 ◽  
Vol 304-305 ◽  
pp. 350-354 ◽  
Author(s):  
X.J. Li ◽  
Dong Ming Guo ◽  
R.K. Ren ◽  
Zhu Ji Jin

In this paper, in order to analyze the oxidation, dissolution and corrosive inhibition effects of additives in the slurry for copper Chemical-mechanical polishing(CMP), the slurry(pH5) with the peroxide as an oxidant, the citric acid as a complexing agent and the benzotriazole(BTA) as an inhibitor is studied. The static etching rate and polishing rate of the Cu-H2O2-Citric acid-BTA slurry are measured. The electrochemical behavior involved in the dissolution and corrosive inhibition of copper in the solutions containing additives is investigated by the electrochemical impedance spectroscopy (EIS) studies. The surface roughness is measured using ZYGO 3-D surface profiler. It is observed that when the slurry is with only 5wt% peroxide existing, copper is stable and slight etching rate on the copper is produced, and the etching rate is only 8.7nm/min. When 0.6wt% citric acid presents after adding 5wt% hydrogen peroxide, the etching rate will increase by 5.3 times, with a blue complexing product emerging. When the inhibitor BTA is added, the corrosion will be effectively restrained. From the EIS results, the impedance of copper in 5wt% peroxide solution which is in passivation can be greatly decreased by adding the citric acid as a complexing reagent. And the impedance of copper in the solution containing peroxide and citric acid can be increased by the addition of BTA. The surface roughness of the wafer polished with the slurry of 5wt% peroxide+0.6wt% citric acid+0.12wt% BTA slurry is Ra 4.7 Å.


2011 ◽  
Vol 183-185 ◽  
pp. 2275-2278
Author(s):  
Yan Gang He ◽  
Jia Xi Wang ◽  
Xin Huan Niu ◽  
Xiao Wei Gan ◽  
Rui Shi ◽  
...  

Chemical mechanical planarization (CMP) of Cu pattern wafer based alkaline Cu slurry in GLSI was investigated. The performance of Cu removal rate and dishing condition were discussed in this paper. Different formation of alkali CMP slurry (Cu1 and Cu2 slurry) were observed by removal rate experiments and showed that alkaline slurry provided a robust polishing performance on initial removal rate, which Cu1 and Cu2 slurry were higher than that of commercial acidity slurry, and in addition, alkaline slurry also have good ending removal rate both in Cu1 and Cu2 slurry and favorable dishing in Cu2 slurry. Furthermore, the result indicated that Cu alkaline slurry with a complexing agent of R(NH2)n, compared with commercial acidity slurry with a inhibitor of Benzotriazol (BTA), have better application foreground for 45nm nod and more advanced nodes.


Author(s):  
Arpita Shukla ◽  
Victoria Selvam ◽  
Manivannan Ramachandran

This work reports urea as a promising complexing agent in sodium carbonate (Na2CO3) based alumina slurry for chemical mechanical planarization (CMP) of tantalum (Ta) and copper (Cu). Ta and Cu were polished using Na2CO3 (1 wt.%) with alumina (2 wt.%) in the presence and absence of urea. The effect of slurry pH, urea concentration, applied downward pressure and platen rotational speed were deliberated and the outcomes were conveyed. Prior to the addition of urea, Ta removal rate (RR) was observed to enhance with pH from acidic to alkaline having maximum RR at pH 11. However, Cu RR decreases with increasing pH with minimum RR at pH 11. With the addition of urea in the slurry, Cu to Ta removal rate selectivity of nearly 1:1 is encountered at pH 11. The addition of urea boosts the Ta RR and suppresses Cu RR at the same time at 11 pH, as it adsorbs on the metal surface. Potentiodynamic polarization was conducted to determine the corrosion current (Icorr) and the corrosion potential (Ecorr). The electrochemical impedance spectroscopy (EIS) of both the metals was carried out in the proposed formulation and the obtained outcomes were elaborated.


2004 ◽  
Vol 816 ◽  
Author(s):  
Christopher L. Borst ◽  
Stanley M. Smith ◽  
Mona Eissa

AbstractLow-abrasive content slurries for copper (Cu) chemical-mechanical planarization (CMP) have been developed to achieve removal rate and removal uniformity comparable to conventional slurries. They can improve post-CMP defectivity, improve topography and allow operation at lower polish pressures that are more compatible with the low-dielectric constant (low-k) materials required for current and future high-performance interconnects. Integration of these slurries into a yielding product with 9-level Cu/low-k metallization requires fundamental learning and process characterization. This paper discusses the some of the challenges encountered during development, integration, and qualification of a low-abrasive Cu CMP process for Texas Instruments (TI) Incorporated's 90 nm technology node with copper/organosilicate interconnect. As abrasive content is reduced, the slurry chemistry must play a larger role in CMP removal. A more aggressive reactive chemical formulation requires an effective inhibitive component to keep Cu static etch rate low. As a result, wafer-scale process and consumable interactions, die-scale planarization efficiency, and feature-scale removal rates each become more sensitive to process changes. Pressure and temperature have larger effects on removal rate/profile than conventional slurries, and complete clearing of Cu puddled over underlying topography becomes more difficult. Successful integration of these slurries, however, can achieve excellent results in dishing and erosion topography, Cu thickness uniformity, and Cu loss in small features such as vias and landing pads. Low-abrasive content solutions are also more stable and easy to handle in slurry distribution vessels and lines, have lower scratch and residue defectivity, and have greatly extended margin for overpolish. As lowabrasive content Cu slurry options continue to evolve to become manufacturable solutions, their benefits far outweigh the costs and challenges encountered in their successful integration.


2006 ◽  
Vol 914 ◽  
Author(s):  
Surya Sekhar Moganty ◽  
Ramanathan Srinivasan

AbstractChemical mechanical polishing (CMP) of copper was investigated in hydrogen peroxide and arginine slurries. Arginine was found to be a complexing agent for the copper in peroxide based slurries, in the alkaline region. The copper polish rate was measured in a Struers LaboPol-5 and LaboForce-3 CMP polishing tool. Static etch rate experiments of copper discs (25.4 mm Dia × 10 mm) were carried in 200 ml beakers with different combinations of hydrogen peroxide and arginine concentrations. Peroxide concentration was varied from 0 to 10 vol%, while the arginine concentration was varied from 0 to 1 wt% for both static etch and polish rate experiments. Fumed silica used as the abrasive medium for polishing.The electrochemical processes involved in oxidative dissolution of copper were investigated by the Tafel corrosion plots and OCP measurements, using the Princeton Applied Research potentiostat. Three electrode corrosion flat cell was used for the electrochemical measurements. Corrosion current density and open circuit potentials (OCP) were used to elucidate the oxidative behavior of peroxide and the complexing role of arginine. Surface characteristics of the polished copper surface were analyzed with the Digital Instruments NanoScope AFM. Polishing with these chemicals resulted in smooth finish.These results indicated that the arginine curtails the formation of oxidative layer on the copper surface and the removal rate was increased by forming complex with the copper.


2003 ◽  
Vol 767 ◽  
Author(s):  
Arun Vijayakumar ◽  
Tianbao Du ◽  
Kalpathy B. Sundaram ◽  
Vimal Desai

AbstractCopper metallization in sub-0.18 μm semiconductor devices is achieved by combining the dual damascence techniques followed by chemical mechanical planarization (CMP). Tantalum and its nitride have been identified as the diffusion barrier layer for copper metallization. However, the wide differences in properties between copper and tantalum layers result in selectivity problems during CMP process. The aim of this work is to obtain a better understanding on the slurry selectivity for copper and tantalum and to develop slurries with best selectivity performance. In this work, the effect of several chemical parameters (abrasive type, oxidizer type, concentration, pH etc.) was studied through static and dynamic tests using advanced electrochemical techniques and surface analysis techniques. The surface layers of the statically etched copper and tantalum discs were investigated using X-ray photoelectron spectroscopy (XPS) and surface planarity was studied using atomic force microscopy (AFM). Polishing rates results show that alumina-based slurry polished copper very well whereas tantalum removal rate was low. However, for the silica-based slurry the tantalum shows much higher removal rate than copper and better surface planarity was obtained.


Sign in / Sign up

Export Citation Format

Share Document