The Application of Chemical Mechanical Polishing for Nickel Used in MEMS Devices

2004 ◽  
Vol 816 ◽  
Author(s):  
Arun Vijayakumar ◽  
Tianbao Du ◽  
Kalpathy B. Sundaram ◽  
Vimal Desai

AbstractChemical mechanical planarization (CMP) has found extensive application in the fabrication of micro-electro-mechanical systems (MEMS). Nickel and Nickel based alloys are known to possess favorable properties that make them promising candidates to realize movable structures for MEMS applications. The development of CMP slurry chemistry for Ni that provides good CMP performance is the key in enabling CMP technology for Nickel based MEMS device fabrication. Unfortunately, very little work has been reported in terms of the electrochemical interaction of Ni with various CMP slurry constituents such as oxidizers, complexants and inhibitors. In this study, CMP of nickel was performed using H2O2 as oxidizer and alumina particles as abrasives. Electrochemical techniques were used to investigate the dissolution/passivation behavior of high-purity Ni under static and dynamic conditions in slurries at pH 4 with the addition of oxidizer, complexing agent and nickel salt. The affected surface layers of the statically etched Ni-disc were investigated using X-ray photoelectron spectroscopy (XPS). The Ni removal rate increased with the addition of oxidizer and further increased with the addition of complexing agent and nickel salt. The electrochemical results indicate that the surface chemistry and electrochemical characteristic of Ni play an important role in controlling the polishing behavior.

2003 ◽  
Vol 767 ◽  
Author(s):  
Tianbao Du ◽  
Vimal Desai

AbstractThis study explores the effect of pH on the chemical mechanical polishing (CMP) characteristics of copper in H2O2 and KIO3 based slurries under various dynamic and static conditions. High purity copper disc was used to study the dissolution and oxidation kinetics at various pH (2 to 10) with 5% H2O2 or 0.1M KIO3. Electrochemical techniques were used to investigate the dissolution/passivation behavior of Cu. The affected surface layers of the statically etched Cu-disc were investigated using X-ray photoelectron spectroscopy (XPS), and scanning electron microscopy (SEM). In 5% H2O2, the Cu removal rate decreases with an increase in pH and reaches minimum at pH 6, and then increases under alkaline conditions. XPS results indicate that the surface oxide formed at various pH values was responsible for this CMP trend. However, with 0.1M KIO3, the CMP removal rates were found to be lower at pH 2. The maximum was observed at pH 4, then the removal rate decreased with the increase of pH. The lower value of removal rate at pH2 was due to the fast interaction between Cu and KIO3 and the precipitation of CuI on the pad, which makes the pad glassy, resulting in lowered removal rates. This was confirmed by XPS measurements. The decreased CMP removal rates when the pH is higher than 4 might be due to the weaker oxidation power of KIO3 with the increase of pH.


2003 ◽  
Vol 767 ◽  
Author(s):  
Ying Luo ◽  
Tianbao Du ◽  
Vimal Desai

AbstractThe present investigation was focused on understanding of the oxidation, dissolution and modification of Cu surface in slurries at various pH using hydrogen peroxide as oxidizer, glycine as complexing agent and 3-amino-triazol (ATA) as inhibitor during Cu-CMP. The electrochemical process involved in the oxidative dissolution of copper was investigated by potentiodynamic polarization studies. Surface modification of copper was investigated using Xray photoelectron spectroscopy to understand the interaction of Cu-H2O2-glycine-ATA during CMP. In the absence of glycine and ATA, the copper removal rate is found to be high in a slurry with 5% H2O2 at pH 2, then it decreases with increasing pH and reaches the minimum at pH 6, it continuously increases at alkaline condition. In the presence of 0.01M glycine, the removal rate of copper decreases in acidic slurries while increases significantly in alkaline slurries. With the further addition of ATA, the copper removal rate was reduced. However, better surface planarity was obtained. The present investigation enhanced understanding of the mechanism of Cu CMP in the presence of oxidizer, complexing agent and inhibitor for formulation of a highly effective CMP-slurry.


2003 ◽  
Vol 767 ◽  
Author(s):  
Arun Vijayakumar ◽  
Tianbao Du ◽  
Kalpathy B. Sundaram ◽  
Vimal Desai

AbstractCopper metallization in sub-0.18 μm semiconductor devices is achieved by combining the dual damascence techniques followed by chemical mechanical planarization (CMP). Tantalum and its nitride have been identified as the diffusion barrier layer for copper metallization. However, the wide differences in properties between copper and tantalum layers result in selectivity problems during CMP process. The aim of this work is to obtain a better understanding on the slurry selectivity for copper and tantalum and to develop slurries with best selectivity performance. In this work, the effect of several chemical parameters (abrasive type, oxidizer type, concentration, pH etc.) was studied through static and dynamic tests using advanced electrochemical techniques and surface analysis techniques. The surface layers of the statically etched copper and tantalum discs were investigated using X-ray photoelectron spectroscopy (XPS) and surface planarity was studied using atomic force microscopy (AFM). Polishing rates results show that alumina-based slurry polished copper very well whereas tantalum removal rate was low. However, for the silica-based slurry the tantalum shows much higher removal rate than copper and better surface planarity was obtained.


2011 ◽  
Vol 183-185 ◽  
pp. 2275-2278
Author(s):  
Yan Gang He ◽  
Jia Xi Wang ◽  
Xin Huan Niu ◽  
Xiao Wei Gan ◽  
Rui Shi ◽  
...  

Chemical mechanical planarization (CMP) of Cu pattern wafer based alkaline Cu slurry in GLSI was investigated. The performance of Cu removal rate and dishing condition were discussed in this paper. Different formation of alkali CMP slurry (Cu1 and Cu2 slurry) were observed by removal rate experiments and showed that alkaline slurry provided a robust polishing performance on initial removal rate, which Cu1 and Cu2 slurry were higher than that of commercial acidity slurry, and in addition, alkaline slurry also have good ending removal rate both in Cu1 and Cu2 slurry and favorable dishing in Cu2 slurry. Furthermore, the result indicated that Cu alkaline slurry with a complexing agent of R(NH2)n, compared with commercial acidity slurry with a inhibitor of Benzotriazol (BTA), have better application foreground for 45nm nod and more advanced nodes.


Author(s):  
Arpita Shukla ◽  
Victoria Selvam ◽  
Manivannan Ramachandran

This work reports urea as a promising complexing agent in sodium carbonate (Na2CO3) based alumina slurry for chemical mechanical planarization (CMP) of tantalum (Ta) and copper (Cu). Ta and Cu were polished using Na2CO3 (1 wt.%) with alumina (2 wt.%) in the presence and absence of urea. The effect of slurry pH, urea concentration, applied downward pressure and platen rotational speed were deliberated and the outcomes were conveyed. Prior to the addition of urea, Ta removal rate (RR) was observed to enhance with pH from acidic to alkaline having maximum RR at pH 11. However, Cu RR decreases with increasing pH with minimum RR at pH 11. With the addition of urea in the slurry, Cu to Ta removal rate selectivity of nearly 1:1 is encountered at pH 11. The addition of urea boosts the Ta RR and suppresses Cu RR at the same time at 11 pH, as it adsorbs on the metal surface. Potentiodynamic polarization was conducted to determine the corrosion current (Icorr) and the corrosion potential (Ecorr). The electrochemical impedance spectroscopy (EIS) of both the metals was carried out in the proposed formulation and the obtained outcomes were elaborated.


2003 ◽  
Vol 767 ◽  
Author(s):  
J. Lu ◽  
J.E. Garland ◽  
C.M. Petite ◽  
S.V. Babu ◽  
D. Roy

AbstractThe process of copper chemical-mechanical planarization (CMP) can be considered as an erosion corrosion process. Such a process can be efficiently studied by in situ and ex situ electrochemical techniques, such as potentiodynamic scan and electrochemical impedance spectroscopy (EIS). Using a copper disk as the working electrode in an electrochemical cell, slurries with different oxidizer concentrations have been investigated with the aforementioned techniques. Corresponding dissolution tests were also studied and compared. It is shown that changing the oxidizer concentration leads to the formation of surface films with different structure and composition on the copper surface during CMP process. The nature of these films controls the rate of copper corrosion. These results could be used to explain the change of copper removal rate in different oxidizer concentration, as well as to understand the copper CMP mechanism.


2021 ◽  
Vol 882 ◽  
pp. 171-180
Author(s):  
Arpita Shukla ◽  
S. Noyel Victoria ◽  
R. Manivannan

Chemical mechanical planarization (CMP) is recognized to be one of the finest polishing techniques which provides a smooth and globally planarized metal surface in the field of semiconductor device manufacturing. This process aids in material removal followed with a well finished and planarized surface by a combination of both chemical and mechanical action imparted by oxidizer and abrasive particle respectively. Semiconductor device manufacturing process is an amalgamation of two sub processes i.e. front end of line (FEOL) and back end of line (BEOL). The whole process consists of different segments comprising of several types of material that need to be planarized. The slurry components play an imperative role in metal CMP. It comprises abrasive, oxidizer, and several additives such as complexing agent, corrosion inhibitor, pH adjustor, slurry stabilizer, etc. and each imparts diverse impact on the material needs to be polished. One of the main topics of concern in this area is the removal rate selectivity of interconnects metal to the barrier layer metal. Thus, the reported review work efforts to emphasize the planarization of barrier layer materials, the various key ingredients employed in metal CMP and removal rate selectivity between interconnects and barrier layer metal.


Author(s):  
Scott S. Perry ◽  
Ian Laboriante ◽  
Xiaoping Yan

The extension of current micro-satellite development efforts calls for a reduction in size by up to two orders of magnitude. Such a reduction in size necessitates the development of novel actuators, switches, and sensors operating on the micron length scale. The leading technology for creating such devices involves microfabrication processes currently used in the production of integrated circuits. Devices generated by these means are referred to as Micro-Electro-Mechanical Systems (MEMS). While many challenges remain in the design and production of MEMS, a critical aspect of their successful deployment involves lubrication of the devices to prevent wear and permanent, undesired adhesion (seizure) of the miniature moving parts. Results from research addressing the vapor phase lubrication of gold-gold contacts, modeling interfaces expected to be encountered in future RF MEMS devices, will be presented. Such interfaces will require high frequency intermittent contact, the absence of irreversible interfacial adhesion, the general absence of sliding within the contact, and the requirement of electrical conductivity upon contact. Work in this area has focused on the use of alklythiols as a means of controlling interfacial adhesion. Experiments have been carried out using atomic force microscopy to characterize adhesion as a function of alkylthiol chain length. In addition, these experiments have incorporated the simultaneous measurement of interfacial currents to explore load versus conductivity relationships. These measurements have been supported through measurements of surface composition through correlated quartz crystal microbalance (QCM) and X-ray photoelectron spectroscopy (XPS) measurements.


2005 ◽  
Vol 867 ◽  
Author(s):  
Serdar Aksu

AbstractChemical mechanical planarization (CMP), which can globally planarize both silicon dioxide (the prevalent interlayer dielectric), and copper films, has become the key process in the damascene method used for producing integrated circuit (IC) devices with multilevel copper interconnects. Cu CMP is typically carried out with slurries containing oxidizing agents, complexing agents, and corrosion inhibitors as the principal chemical components. In such slurries, complexing agents enhance the solubility of copper and increase the dissolution rate of the abraded material in Cu CMP. They also assist achieving high copper removal rates during dynamic polishing conditions. The nature of the complexing agent used, the pH and the redox potential of the slurry system are among the main factors controlling the dissolution and passivation behaviors of copper during CMP. Consequently, these factors are intimately related to the key CMP performance metrics such as removal rate and planarity. In this paper, potentialpH diagrams of copper in aqueous systems containing a number of organic complexing agents including ethylenediaminetetraacetic acid (EDTA), nitrilotriacetic acid (NTA), oxalic acid and malonic acid are presented. The predominance regions of copper complexes under different copper and ligand activities and their implications on copper removal during CMP are discussed.


2021 ◽  
Vol 11 (4) ◽  
pp. 1783
Author(s):  
Ming-Yi Tsai ◽  
Kun-Ying Li ◽  
Sun-Yu Ji

In this study, special ceramic grinding plates impregnated with diamond grit and other abrasives, as well as self-made lapping plates, were used to prepare the surface of single-crystal silicon carbide (SiC) wafers. This novel approach enhanced the process and reduced the final chemical mechanical planarization (CMP) polishing time. Two different grinding plates with pads impregnated with mixed abrasives were prepared: one with self-modified diamond + SiC and a ceramic binder and one with self-modified diamond + SiO2 + Al2O3 + SiC and a ceramic binder. The surface properties and removal rate of the SiC substrate were investigated and a comparison with the traditional method was conducted. The experimental results showed that the material removal rate (MRR) was higher for the SiC substrate with the mixed abrasive lapping plate than for the traditional method. The grinding wear rate could be reduced by 31.6%. The surface roughness of the samples polished using the diamond-impregnated lapping plate was markedly better than that of the samples polished using the copper plate. However, while the surface finish was better and the grinding efficiency was high, the wear rate of the mixed abrasive-impregnated polishing plates was high. This was a clear indication that this novel method was effective and could be used for SiC grinding and lapping.


Sign in / Sign up

Export Citation Format

Share Document