Understanding residual stress in thin films: Analyzing wafer curvature measurements for Ag, Cu, Ni, Fe, Ti, and Cr with a kinetic model

2021 ◽  
Vol 130 (13) ◽  
pp. 135304
Author(s):  
Zhaoxia Rao ◽  
Sarah Berman ◽  
Peilin Yang ◽  
Diederik Depla ◽  
Eric Chason
1993 ◽  
Vol 308 ◽  
Author(s):  
S.G.H. Anderson ◽  
I.-S. Yeo ◽  
P.S. Ho ◽  
S. Ramaswami ◽  
R. Cheung

ABSTRACTWafer curvature measurements of a trilayer (SiO2 / AlSiCu / Si) structure are compared to that predicted by a weighted sum of individual measurements of SiO2 and AlSiCu films on Si, and significant differences are found to exist for temperatures above 200°C. A straightforward analysis of the stresses in each layer has been modeled using an extension of a model by Feng et al. which assumes uniform plastic deformation throughout the Al. The modeling results suggest a straightforeward method for determining stresses in deformable thin films that are confined by elastic overlayers. A comparison of the stress-temperature behavior for unpassivated and passivated AlSiCu films reveals that the confined films exhibit less plastic deformation and both higher tension and compression during thermal cycling.


2004 ◽  
Vol 76 (1-4) ◽  
pp. 219-226 ◽  
Author(s):  
J. Laconte ◽  
F. Iker ◽  
S. Jorez ◽  
N. André ◽  
J. Proost ◽  
...  

2020 ◽  
Vol 87 (10) ◽  
Author(s):  
Zhaoxia Rao ◽  
Hanxun Jin ◽  
Alison Engwall ◽  
Eric Chason ◽  
Kyung-Suk Kim

Abstract We report closed-form formulas to calculate the incremental-deposition stress, the elastic relaxation stress, and the residual stress in a finite-thickness film from a wafer-curvature measurement. The calculation shows how the incremental deposition of a new stressed layer to the film affects the amount of the film/wafer curvature and the stress state of the previously deposited layers. The formulas allow the incremental-deposition stress and the elastic relaxation to be correctly calculated from the slope of the measured curvature versus thickness for arbitrary thicknesses and biaxial moduli of the film and the substrate. Subtraction of the cumulative elastic relaxation from the incremental-deposition stress history results in the residual stress left in the film after the whole deposition process. The validities of the formulas are confirmed by curvature measurements of electrodeposited Ni films on substrates with different thicknesses.


2003 ◽  
Vol 18 (2) ◽  
pp. 423-432 ◽  
Author(s):  
G.F. Iriarte ◽  
F. Engelmark ◽  
M. Ottosson ◽  
I.V. Katardjiev

In this work, a systematic study of the influence of five deposition parameters, i.e., process pressure, substrate temperature, target power, and substrate bias, as well as gas composition on the residual stress in fully textured polycrystalline aluminum nitride thin films deposited on Si(100) wafers using the reactive sputtering method was performed. Post-growth residual stress measurements were obtained indirectly from radius of curvature measurements of the wafer prior to and after deposition. Two different techniques were used to determine the curvature: an optically levered laser beam and an x-ray diffraction method. Stresses in both cases were then evaluated using the Stoney formulation [G.G. Stoney, Proc. R. Soc. (London)A82,172 (1909)]. Both methods give similar results, with slight quantitative differences. The existence of a transition region between tensile and compressive stress previously reported in the literature is also confirmed. The transition is shown to be strongly dependent on the process parameters. Optimal films regarding stress were grown at 2 mtorr, 900 W at the target, a 20/45 Ar/N2gas mixture, and floating potential at the substrate. The substrate temperature did not influence the measured internal stress in the films.


Author(s):  
Andrew J. Mueller ◽  
Robert D. White

This paper compares the use of four mechanical methods for characterization of residual stress variation in low pressure chemical vapor deposited (LPCVD) polysilicon thin films deposited, doped, and annealed under different conditions. Stress was determined using buckling structures, vibrating microstructures, static rotating structures and the wafer curvature method. After deposition of 1.0 μm of polysilicon at 625°C and 588°C the stress in the wafers is 230 MPa compressive (stdev = 1.2 MPa) and 340 MPa compressive (stdev = 10.4 MPa), respectively. Deposition of 0.6 μm at 580°C results in a tensile stress of 66 MPa (stdev= 52 MPa). Following doping, all stresses are compressive. Boron doping of the 625°C and 588°C deposited films produces a compressive stress of 149 MPa (stdev= 28.6 MPa) and 100 MPa (stdev= 29.5 MPa). Phosphorous doping of the 588°C and 580°C deposited films produces a compressive stress of 54 MPa (stdev = 0.3 MPa) and 80 MPa (stdev= 5.3 MPa), respectively. Annealing through rapid thermal processing (RTP) at temperatures of 1000°C – 1100°C reduced the stresses by 20-50 MPa, but the stresses remained compressive. These values are measured using the wafer curvature method. Values obtained from the other microstructure methods agree with stresses determined by wafer curvature with the exception of the rotating structures which showed 20% lower stress readings.


1993 ◽  
Vol 309 ◽  
Author(s):  
S.G.H. Anderson ◽  
I.-S. Yeo ◽  
P.S. Ho ◽  
S. Ramaswami ◽  
R. Cheung

AbstractWafer curvature measurements of a trilayer (SiO2 / AlSiCu / Si) structure are compared to that predicted by a weighted sum of individual measurements of SiO2 and AISiCu films on Si, and significant differences are found to exist for temperatures above 200°C. A straightforward analysis of the stresses in each layer has been modeled using an extension of a model by Feng et al. which assumes uniform plastic deformation throughout the Al. The modeling results suggest a straightforeward method for determining stresses in deformable thin films that are confined by elastic overlayers. A comparison of the stress-temperature behavior for unpassivated and passivated AISiCu films reveals that the confined films exhibit less plastic deformation and both higher tension and compression during thermal cycling.


2009 ◽  
Vol 113 (2) ◽  
pp. 976-983 ◽  
Author(s):  
Wonbong Jang ◽  
Jongchul Seo ◽  
Choonkeun Lee ◽  
Sang-Hyon Paek ◽  
Haksoo Han

2001 ◽  
Vol 687 ◽  
Author(s):  
George M Dougherty ◽  
Timothy Sands ◽  
Albert P. Pisano

AbstractPolycrystalline silicon thin films that are permeable to fluids, known as permeable polysilicon, have been reported by several researchers. Such films have great potential for the fabrication of difficult to make MEMS structures, but their use has been hampered by poor process repeatability and a lack of physical understanding of the origin of film permeability and how to control it. We have completed a methodical study of the relationship between process, microstructure, and properties for permeable polysilicon thin films. As a result, we have determined that the film permeability is caused by the presence of nanoscale pores, ranging from 10-50 nm in size, that form spontaneously during LPCVD deposition within a narrow process window. The unusual microstructure within this process window corresponds to the transition between a semicrystalline growth regime, exhibiting tensile residual stress, and a columnar growth regime exhibiting compressive residual stress. A simple kinetic model is proposed to explain the unusual morphology within this transition regime. It is determined that measurements of the film residual stress can be used to tune the deposition parameters to repeatably produce permeable films for applications. The result is a convenient, single-step process that enables the elegant fabrication of many previously challenging structures.


2009 ◽  
Vol 255 (19) ◽  
pp. 8252-8256 ◽  
Author(s):  
Thanh Nga Nguyen ◽  
Van Duy Nguyen ◽  
Sungwook Jung ◽  
Junsin Yi

1999 ◽  
Vol 594 ◽  
Author(s):  
T. Y. Zhang ◽  
Y. J. Su ◽  
C. F. Qian ◽  
M. H. Zhao ◽  
L. Q. Chen

AbstractThe present work proposes a novel microbridge testing method to simultaneously evaluate the Young's modulus, residual stress of thin films under small deformation. Theoretic analysis and finite element calculation are conducted on microbridge deformation to provide a closed formula of deflection versus load, considering both substrate deformation and residual stress in the film. Silicon nitride films fabricated by low pressure chemical vapor deposition on silicon substrates are tested to demonstrate the proposed method. The results show that the Young's modulus and residual stress for the annealed silicon nitride film are respectively 202 GPa and 334.9 MPa.


Sign in / Sign up

Export Citation Format

Share Document