Adhesion and Dielectric Strength of Ultra-Low Dielectric Constant PTFE Thin Films

1997 ◽  
Vol 476 ◽  
Author(s):  
C.T. Rosenmaver ◽  
J. W. Bartz ◽  
J. Hammes

AbstractPrevious work has demonstrated the potential of polytetrafluoroethylene (PTFE) thin films for ULSI applications. The films are deposited from PTFE nanoemulsions. They have an ultra-low dielectric constant of 1.7 to 2.0, a leakage current of less than 1.0 nA/cm2 @ 0.2 MV/cm and a dielectric strength of from 0.5 to 2.4 MV/cm. They are thermally stable (isothermal weight loss < 1.0 %/hr at 450 °C), uniform (thickness standard deviation < 2%), and have excellent gap-fill properties (viscosity of 1.55 cP and surface tension of 18 mN/m). The films are inert with respect to all known semiconductor process chemicals, yet they are easily etched in an oxygen plasma.This paper discusses the processing technology that has been developed to process PTFE films with these properties. Specifically, it addresses two recent discoveries: 1) Good adhesion of spin-coated PTFE to SiO2 surfaces; and 2) high dielectric strength of PTFE thin films spin-coat deposited onto rigid substrates. The adhesion-promoting and thermal treatments necessary to produce these properties are detailed. Stud pull test results and test results from metal-insulator-metal (MIM) capacitor structures are given.

1989 ◽  
Vol 162 ◽  
Author(s):  
Richard Koba ◽  
William Russell

ABSTRACTMonocrystalline β-SiC, diamond and cubic boron nitride (c-BN) are the three ultimate semiconductors. These materials show great promise as active semiconductors for power electronics because of their wide bandgap, the existence of substitutional dopants, their high dielectric strength, their low dielectric constant, and their high thermal conductivity. To illustrate their superiority, a conventional Si.power FET is compared to a theoretical diamond FET. By assuming the diamond FET can operate hotter and at a higher voltage, it is calculated that the diamond FET should deliver up to 35 times more power-to-load than the Si FET.


2000 ◽  
Vol 617 ◽  
Author(s):  
Ian W. Boyd ◽  
Jun-Ying Zhang

AbstractIn this paper, UV-induced large area growth of high dielectric constant (Ta2O5, TiO2and PZT) and low dielectric constant (polyimide and porous silica) thin films by photo-CVD and sol-gel processing using excimer lamps, as well as the effect of low temperature LW annealing, are discussed. Ellipsometry, Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), UV spectrophotometry, atomic force microscope (AFM), capacitance-voltage (C-V) and current-voltage (I-V) measurements have been employed to characterize oxide films grown and indicate them to be high quality layers. Leakage current densities as low as 9.0×10−8 Acm−2 and 1.95×10−7 Acm−2 at 0.5 MV/cm have been obtained for the as-grown Ta2O5 films formed by photo-induced sol-gel processing and photo-CVD. respectively - several orders of magnitude lower than for any other as-grown films prepared by any other technique. A subsequent low temperature (400°C) UV annealing step improves these to 2.0×10−9 Acm−2 and 6.4× 10−9 Acm−2, respectively. These values are essentially identical to those only previously formed for films annealed at temperatures between 600 and 1000°C. PZT thin films have also been deposited at low temperatures by photo-assisted decomposition of a PZT metal-organic sol-gel polymer using the 172 nm excimer lamp. Very low leakage current densities (10−7 A/cm2) can be achieved, which compared with layers grown by conventional thermal processing. Photo-induced deposition of low dielectric constant organic polymers for interlayer dielectrics has highlighted a significant role of photo effects on the curing of polyamic acid films. I-V measurements showed the leakage current density of the irradiated polymer films was over an order of magnitude smaller than has been obtained in the films prepared by thermal processing. Compared with conventional furnace processing, the photo-induced curing of the polyimide provided both reduced processing time and temperature, A new technique of low temperature photo-induced sol-gel process for the growth of low dielectric constant porous silicon dioxide thin films from TEOS sol-gel solutions with a 172 nm excimer lamp has also been successfully demonstrated. The dielectric constant values as low as 1.7 can be achieved at room temperature. The applications investigated so far clearly demonstrate that low cost high power excimer lamp systems can provide an interesting alternative to conventional UV lamps and excimer lasers for industrial large-scale low temperature materials processing.


1996 ◽  
Vol 443 ◽  
Author(s):  
Neil H. Hendricks

AbstractFor over two years, intensive efforts at SEMATECH and elsewhere have focused on identifying low dielectric constant (low ε) materials which possess all of the required properties and processing characteristics needed for integration into standard IC fabrication lines. To date, no material candidate has been shown to satisfy this impressive list of requirements. For some candidates, drawbacks related to material properties such as poor thermal stability or electrical performance have been identified; in other cases, problems in process integration, for example difficulties in patterning have stalled progress.In this paper, most of the current leading candidates for the low ε IC IMC application are identified and discussed. An attempt is made to correlate structure/property relationships in these materials with their relative attributes and deficiencies as they relate to the IMD application. Key differences in chemistry and property/processing characteristics are contrasted for low c silicon-oxygen polymers and for purely organic polymers. Novel dielectrics such as porous organic and inorganic thin films are also discussed in terms of their properties and associated process integration challenges. Since the needs for global planarization and low c IMD are occurring within roughly the same generation of minimum feature size (˜ 0.25 μm), the chemical mechanical polishing (CMP) of low dielectric constant thin films and/or of SiO2 layers deposited above them is briefly discussed. Both subtractive metalization and damascene processes are included, and the required low dielectric constant film properties and processing characteristics are contrasted for each process. Finally, the author's views on future trends in low dielectric constant materials development are presented, with an emphasis on identifying the types of chemical structures which may prove viable for this most demanding of all polymer film applications.


2000 ◽  
Vol 612 ◽  
Author(s):  
Sang-Soo Han ◽  
Byeong-Soo Bae

AbstractFluorinated amorphous carbon (a-C:F) thin films were deposited by inductively coupled plasma enhanced chemical vapor deposition (ICP-CVD) with increasing CF4:CH4 gas flow rate ratio, and then annealed with increasing annealing temperature (100, 200, 300, and 400.). We have found the reduction mechanism of the dielectric constant and the thermally stable condition for the a-C:F films. On the basis of the results, the optimal condition to satisfy both the low dielectric constant and the thermal stability is followed as; the a-C:F films have to have the compatible F content to make a compromise between the two properties; the C-Fx bonding configuration has to exist as a form of C-F2 & C-F3 instead of C-F; The films should be somewhat cross-linked structure.


2007 ◽  
Vol 50 (6) ◽  
pp. 1803 ◽  
Author(s):  
Rangaswamy Navamathavan ◽  
An Soo Jung ◽  
Hyun Seung Kim ◽  
Young Jun Jang ◽  
Chi Kyu Choi ◽  
...  

2013 ◽  
Vol 1561 ◽  
Author(s):  
M.A Jithin ◽  
Lakshmi Ganapathi Kolla ◽  
Navakanta Bhat ◽  
S. Mohan ◽  
Yuichiro Morozumi ◽  
...  

ABSTRACTIn this study, synthesis and characterization of rutile-Titanium dioxide (TiO2) thin films using pulsed DC Magnetron Sputtering at room temperature, along with the fabrication and characterization of MIM capacitors have been discussed. XPS and RBS data show that the films are stoichiometric and have compositional uniformity. The influence of electrode materials on electrical characteristics of the fabricated MIM capacitors has been studied. The Al/TiO2/Al based capacitors show low capacitance density (9 fF/μm2) with low dielectric constant (K=25) and high EOT (3.67 nm) due to low dielectric constant TiO2 phase formation on Al/Si substrate. On the other hand, Ru/TiO2/Ru based capacitors show high capacitance density (49 fF/μm2) with high dielectric constant (K=130) and low EOT (0.7nm) values at high frequency (100 KHz) due to high dielectric constant phase (rutile) formation of TiO2, on Ru/Si substrate. Raman spectra confirm that the films deposited on Ru/Si substrate show the rutile phase.


Sign in / Sign up

Export Citation Format

Share Document