scholarly journals Plasma Enhanced Atomic Layer Deposition of Tantalum (V) Oxide

Coatings ◽  
2021 ◽  
Vol 11 (10) ◽  
pp. 1206
Author(s):  
Pavel Fedorov ◽  
Denis Nazarov ◽  
Oleg Medvedev ◽  
Yury Koshtyal ◽  
Aleksander Rumyantsev ◽  
...  

The tantalum oxide thin films are promising materials for various applications: as coatings in optical devices, as dielectric layers for micro and nanoelectronics, and for thin-films solid-state lithium-ion batteries (SSLIBs). This article is dedicated to the Ta-O thin-film system synthesis by the atomic layer deposition (ALD) which allows to deposit high quality films and coatings with excellent uniformity and conformality. Tantalum (V) ethoxide (Ta(OEt)5) and remote oxygen plasma were used as tantalum-containing reagent and oxidizing co-reagent, respectively. The influence of deposition parameters (reactor and evaporator temperature, pulse and purge times) on the growth rate were studied. The thickness of the films were measured by spectroscopic ellipsometry, scanning electron microscopy and X-ray reflectometry. The temperature range of the ALD window was 250–300 °C, the growth per cycle was about 0.05 nm/cycle. Different morphology of films deposited on silicon and stainless steel was found. According to the X-ray diffraction data, the as-prepared films were amorphous. But the heat treatment study shows crystallization at 800 °C with the formation of the polycrystalline Ta2O5 phase with a rhombic structural type (Pmm2). The results of the X-ray reflectometry show the Ta-O films’ density is 7.98 g/cm3, which is close to the density of crystalline Ta2O5 of the rhombic structure (8.18 g/cm3). The obtained thin films have a low roughness and high uniformity. The chemical composition of the surface and bulk of Ta-O coatings was studied by X-ray photoelectron spectroscopy and energy-dispersive X-ray spectroscopy. Surface of the films contain Ta2O5 and some carbon contamination, but the bulk of the films does not contain carbon and any precursor residues. Cyclic voltammetry (CVA) showed that there is no current increase for tantalum (V) oxide in a potential window of 3–4.2 V and has prospects of use as protective coatings for cathode materials of SSLIBs.

2019 ◽  
Vol 10 ◽  
pp. 1443-1451
Author(s):  
Ivan Kundrata ◽  
Karol Fröhlich ◽  
Lubomír Vančo ◽  
Matej Mičušík ◽  
Julien Bachmann

Lithiated thin films are necessary for the fabrication of novel solid-state batteries, including the electrodes and solid electrolytes. Physical vapour deposition and chemical vapour deposition can be used to deposit lithiated films. However, the issue of conformality on non-planar substrates with large surface area makes them impractical for nanobatteries the capacity of which scales with surface area. Atomic layer deposition (ALD) avoids these issues and is able to deposit conformal films on 3D substrates. However, ALD is limited in the range of chemical reactions, due to the required volatility of the precursors. Moreover, relatively high temperatures are necessary (above 100 °C), which can be detrimental to electrode layers and substrates, for example to silicon into which the lithium can easily diffuse. In addition, several highly reactive precursors, such as Grignard reagents or n-butyllithium (BuLi) are only usable in solution. In theory, it is possible to use BuLi and water in solution to produce thin films of LiH. This theoretical reaction is self-saturating and, therefore, follows the principles of solution atomic layer deposition (sALD). Therefore, in this work the sALD technique and principles have been employed to experimentally prove the possibility of LiH deposition. The formation of homogeneous air-sensitive thin films, characterized by using ellipsometry, grazing incidence X-ray diffraction (GIXRD), in situ quartz crystal microbalance, and scanning electron microscopy, was observed. Lithium hydride diffraction peaks have been observed in as-deposited films by GIXRD. X-ray photoelectron spectroscopy and Auger spectroscopy analysis show the chemical identity of the decomposing air-sensitive films. Despite the air sensitivity of BuLi and LiH, making many standard measurements difficult, this work establishes the use of sALD to deposit LiH, a material inaccessible to conventional ALD, from precursors and at temperatures not suitable for conventional ALD.


2018 ◽  
Vol 32 (19) ◽  
pp. 1840074 ◽  
Author(s):  
Viral Barhate ◽  
Khushabu Agrawal ◽  
Vilas Patil ◽  
Sumit Patil ◽  
Ashok Mahajan

The spectroscopic study of La2O3 thin films deposited over Si and SiC at low RF power of 25 W by using indigenously developed plasma-enhanced atomic layer deposition (IDPEALD) system has been investigated. The tris (cyclopentadienyl) lanthanum (III) and O2 plasma were used as a source precursor of lanthanum and oxygen, respectively. The [Formula: see text]1.2 nm thick La2O3 over SiC and Si has been formed based on our recipe confirmed by means of cross-sectional transmission electron microscopy. The structural characterization of deposited films was performed by means of X-ray photoelectron Spectroscopy (XPS) and X-ray Diffraction (XRD). The XPS result confirms the formation of 3[Formula: see text] oxidation state of the lanthania. The XRD results reveals that, deposited La2O3 films deposited on SiC are amorphous in nature compare to that of films on Si. The AFM micrograph shows the lowest roughness of 0.26 nm for 30 cycles of La2O3 thin films.


2007 ◽  
Vol 996 ◽  
Author(s):  
Justin C. Hackley ◽  
J. Derek Demaree ◽  
Theodosia Gougousi

AbstractA hot wall Atomic Layer Deposition (ALD) flow reactor equipped with a Quartz Crystal Microbalance (QCM) has been used for the deposition of HfO2 thin films with tetrakis (dimethylamino) hafnium (TDMAH) and H2O as precursors. HfO2 films were deposited on H-terminated Si and SC1 chemical oxide starting surfaces. Spectroscopic ellipsometry (SE) and QCM measurements confirm linear growth of the films at a substrate temperature of 275°C. FTIR spectra indicate the films are amorphous as-deposited. Two distinct growth regimes are observed: from 1-50 cycles, both surfaces display similar growth rates of about 1.0Å/cycle; from 50-200 cycles, HfO2 growth is decreased by about 15% to ~0.87Å/cycle on both surfaces. Nucleation and initial growth behavior of the films on Si-H were examined using X-ray photoelectron spectroscopy (XPS). Angle-resolved XPS, at take-off angles of θ=0, 15, 30, 45 and 60° measured from the normal to the sample surface, is used to probe the interfacial region of thin films (4, 7, 10, 15 and 25 cycles) on H-terminated samples. Initially, an interfacial layer comprised of a SiOx/HfSiOx mixture is grown between 1-10 ALD cycles. We observe that the Si/HfO2 interface is unstable, and oxidation continues up to the 25th ALD cycle, reaching a thickness of ~18Å.


Molecules ◽  
2020 ◽  
Vol 25 (21) ◽  
pp. 5043
Author(s):  
Chia-Hsun Hsu ◽  
Xin-Peng Geng ◽  
Wan-Yu Wu ◽  
Ming-Jie Zhao ◽  
Xiao-Ying Zhang ◽  
...  

In this study, aluminum-doped zinc oxide (Al:ZnO) thin films were grown by high-speed atmospheric atomic layer deposition (AALD), and the effects of air annealing on film properties are investigated. The experimental results show that the thermal annealing can significantly reduce the amount of oxygen vacancies defects as evidenced by X-ray photoelectron spectroscopy spectra due to the in-diffusion of oxygen from air to the films. As shown by X-ray diffraction, the annealing repairs the crystalline structure and releases the stress. The absorption coefficient of the films increases with the annealing temperature due to the increased density. The annealing temperature reaching 600 °C leads to relatively significant changes in grain size and band gap. From the results of band gap and Hall-effect measurements, the annealing temperature lower than 600 °C reduces the oxygen vacancies defects acting as shallow donors, while it is suspected that the annealing temperature higher than 600 °C can further remove the oxygen defects introduced mid-gap states.


Energies ◽  
2020 ◽  
Vol 13 (9) ◽  
pp. 2345
Author(s):  
Maxim Maximov ◽  
Denis Nazarov ◽  
Aleksander Rumyantsev ◽  
Yury Koshtyal ◽  
Ilya Ezhov ◽  
...  

Lithium nickelate (LiNiO2) and materials based on it are attractive positive electrode materials for lithium-ion batteries, owing to their large capacity. In this paper, the results of atomic layer deposition (ALD) of lithium–nickel–silicon oxide thin films using lithium hexamethyldisilazide (LiHMDS) and bis(cyclopentadienyl) nickel (II) (NiCp2) as precursors and remote oxygen plasma as a counter-reagent are reported. Two approaches were studied: ALD using supercycles and ALD of the multilayered structure of lithium oxide, lithium nickel oxide, and nickel oxides followed by annealing. The prepared films were studied by scanning electron microscopy, spectral ellipsometry, X-ray diffraction, X-ray reflectivity, X-ray photoelectron spectroscopy, time-of-flight secondary ion mass spectrometry, energy-dispersive X-ray spectroscopy, transmission electron microscopy, and selected-area electron diffraction. The pulse ratio of LiHMDS/Ni(Cp)2 precursors in one supercycle ranged from 1/1 to 1/10. Silicon was observed in the deposited films, and after annealing, crystalline Li2SiO3 and Li2Si2O5 were formed at 800 °C. Annealing of the multilayered sample caused the partial formation of LiNiO2. The obtained cathode materials possessed electrochemical activity comparable with the results for other thin-film cathodes.


Coatings ◽  
2019 ◽  
Vol 9 (5) ◽  
pp. 301 ◽  
Author(s):  
Yury Koshtyal ◽  
Denis Nazarov ◽  
Ilya Ezhov ◽  
Ilya Mitrofanov ◽  
Artem Kim ◽  
...  

Atomic layer deposition (ALD) provides a promising route for depositing uniform thin-film electrodes for Li-ion batteries. In this work, bis(methylcyclopentadienyl) nickel(II) (Ni(MeCp)2) and bis(cyclopentadienyl) nickel(II) (NiCp2) were used as precursors for NiO ALD. Oxygen plasma was used as a counter-reactant. The films were studied by spectroscopic ellipsometry, scanning electron microscopy, atomic force microscopy, X-ray diffraction, X-ray reflectometry, and X-ray photoelectron spectroscopy. The results show that the optimal temperature for the deposition for NiCp2 was 200–300 °C, but the optimal Ni(MeCp)2 growth per ALD cycle was 0.011–0.012 nm for both precursors at 250–300 °C. The films deposited using NiCp2 and oxygen plasma at 300 °C using optimal ALD condition consisted mainly of stoichiometric polycrystalline NiO with high density (6.6 g/cm3) and low roughness (0.34 nm). However, the films contain carbon impurities. The NiO films (thickness 28–30 nm) deposited on stainless steel showed a specific capacity above 1300 mAh/g, which is significantly more than the theoretical capacity of bulk NiO (718 mAh/g) because it includes the capacity of the NiO film and the pseudo-capacity of the gel-like solid electrolyte interface film. The presence of pseudo-capacity and its increase during cycling is discussed based on a detailed analysis of cyclic voltammograms and charge–discharge curves (U(C)).


Crystals ◽  
2020 ◽  
Vol 10 (2) ◽  
pp. 136 ◽  
Author(s):  
Zhigang Xiao ◽  
Kim Kisslinger ◽  
Sam Chance ◽  
Samuel Banks

We report the growth of nanoscale hafnium dioxide (HfO2) and zirconium dioxide (ZrO2) thin films using remote plasma-enhanced atomic layer deposition (PE-ALD), and the fabrication of complementary metal-oxide semiconductor (CMOS) integrated circuits using the HfO2 and ZrO2 thin films as the gate oxide. Tetrakis (dimethylamino) hafnium (Hf[N(CH3)2]4) and tetrakis (dimethylamino) zirconium (IV) (Zr[N(CH3)2]4) were used as the precursors, while O2 gas was used as the reactive gas. The PE-ALD-grown HfO2 and ZrO2 thin films were analyzed using X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), and high-resolution transmission electron microscopy (HRTEM). The XPS measurements show that the ZrO2 film has the atomic concentrations of 34% Zr, 2% C, and 64% O while the HfO2 film has the atomic concentrations of 29% Hf, 11% C, and 60% O. The HRTEM and XRD measurements show both HfO2 and ZrO2 films have polycrystalline structures. n-channel and p-channel metal-oxide semiconductor field-effect transistors (nFETs and pFETs), CMOS inverters, and CMOS ring oscillators were fabricated to test the quality of the HfO2 and ZrO2 thin films as the gate oxide. Current-voltage (IV) curves, transfer characteristics, and oscillation waveforms were measured from the fabricated transistors, inverters, and oscillators, respectively. The experimental results measured from the HfO2 and ZrO2 thin films were compared.


Sign in / Sign up

Export Citation Format

Share Document