EUV LITHOGRAPHY FOR SEMICONDUCTOR MANUFACTURING AND NANOFABRICATION

COSMOS ◽  
2007 ◽  
Vol 03 (01) ◽  
pp. 51-77
Author(s):  
HIROO KINOSHITA

EUV lithography is the exposure technology in which even 15 nm node which is the limit of Si device can be achieved. Unlike the conventional optical lithography, this technology serves as a reflection type optical system, and a multilayer coated mirror is used. Development of manufacturing equipment is accelerated to aim at the utilization starting from 2011. The critical issues of development are the EUV light source which has the power over 115 W and resist with high sensitivity and low line edge roughness (LER).

Micromachines ◽  
2021 ◽  
Vol 12 (11) ◽  
pp. 1375
Author(s):  
Junji Pu ◽  
Kai Zeng ◽  
Yulie Wu ◽  
Dingbang Xiao

In recent years, the optical accelerometer based on the optical trapping force effect has gradually attracted the attention of researchers for its high sensitivity and high measurement accuracy. However, due to its large size and the complexity of optical path adjustment, the optical force accelerometers reported are only suitable for the laboratory environment up to now. In this paper, a miniature optical force dual-axis accelerometer based on the miniature optical system and a particles cavity which is prepared by Micro-Electro-Mechanical Systems (MEMS) technology is proposed. The overall system of the miniature optical levitation including the miniature optical system and MEMS particles cavity is a cylindrical structure with a diameter of about 10 mm and a height of 33 mm (Φ 10 mm × 33 mm). Moreover, the size of this accelerometer is 200 mm × 100 mm × 100 mm. Due to the selected light source being a laser diode light source with elliptical distribution, it is sensitive to the external acceleration in both the long axis and the short axis. This accelerometer achieves a measurement range of ±0.17 g–±0.26 g and measurement resolution of 0.49 mg and 1.88 mg. The result shows that the short-term zero-bias stability of the two orthogonal axes of the optical force accelerometer is 4.4 mg and 9.2 mg, respectively. The main conclusion that can be drawn is that this optical force accelerometer could provide an effective solution for measuring acceleration with an optical force effect for compact engineering devices.


Polymers ◽  
2020 ◽  
Vol 12 (12) ◽  
pp. 2971
Author(s):  
Joren Severi ◽  
Danilo De Simone ◽  
Stefan De Gendt

Extreme ultra-violet lithography (EUVL) is the leading-edge technology to produce advanced nanoelectronics. The further development of EUVL is heavily based on implementing the so-called high numerical aperture (NA) EUVL, which will enable even smaller pitches up to 8 nm half pitch (HP). In anticipation of this high NA technology, it is crucial to assess the readiness of the current resist materials for the high NA regime to comply with the demanding requirements of resolution, line-edge roughness, and sensitivity (RLS). The achievable tighter pitches require lower film thicknesses for both resist and underlying transfer layers. A concern that is tied to the thinning down is the potential change in resist properties and behavior due to the interaction with the underlayer. To increase the fundamental understanding of ultra-thin films for high NA EUVL, a method to investigate the interplay of reduced film thickness and different patterning-relevant underlayers is developed by looking at the glass transition temperature (Tg) of polymer-based resists. To minimize the ambiguity of the results due to resist additives (i.e., photoacid generator (PAG) and quencher), it was opted to move forward with polymer-only samples, the main component of the resist, at this stage of the investigation. By using dielectric response spectroscopy, the results obtained show that changing the protection group of the polymer, as well as altering the polymer film thickness impacts the dynamics of the polymer mobility, which can be assessed through the Tg of the system. Unexpectedly, changing the underlayer did not result in a clear change in the polymer mobility at the tested film thicknesses.


Author(s):  
Takahiro KOZAWA

Abstract The manufacturing of semiconductor devices using extreme ultraviolet (EUV) lithography started in 2019. A high numerical aperture (NA) tool under development is capable of resolving 8 nm line-and-space optical images and will extend the application of EUV lithography. However, resist materials have not been yet applicable to the production with 8 nm resolution. In this study, the relationships among the half-pitch of line-and-space patterns (resolution), chemical gradient [an indicator of line edge roughness (LER)], and sensitivity were investigated in the sub-10 nm half-pitch region for chemically amplified EUV resists. The chemical gradient was simulated on the basis of their sensitization and reaction mechanisms. The relationship was formulated as a function of total sensitizer concentration (the sum of photoacid generator and photodecomposable quencher concentrations) and the thermalization distance of secondary electrons. The effect of thermalized electrons was well incorporated into the trade-off relationships between resolution, LER, and sensitivity.


2006 ◽  
Vol 17 (6) ◽  
pp. 1543-1546 ◽  
Author(s):  
Akinori Saeki ◽  
Takahiro Kozawa ◽  
Seiichi Tagawa ◽  
Heidi B Cao

2017 ◽  
Author(s):  
Timothy A. Brunner ◽  
Xuemei Chen ◽  
Allen Gabor ◽  
Craig Higgins ◽  
Lei Sun ◽  
...  

2001 ◽  
Vol 705 ◽  
Author(s):  
Jonathan L. Cobb ◽  
Robert L. Brainard ◽  
Donna J. O'Connell ◽  
Paul M. Dentinger

AbstractExtreme Ultraviolet (EUV) lithography is gaining momentum as the patterning technology of choice for the semiconductor nodes with less than 70-nm half-pitch. As such, it must be ready for manufacturing in the 2006-2007 time frame, and it must be extendable to the lower limits of CMOS technology. Successful patterning of 40-nm dense lines in viable EUV photoresists indicates that today's resist materials may have the necessary resolution, but better optics are needed to verify this more rigorously. Although little is understood about the impact of line-edge roughness (LER) on device performance, it is generally assumed that EUV LER must be less than 3 nm 3σ. EUV lines have been printed with LER as low as 4 nm 3σ, but they were printed with unacceptable photospeed. Deliberate attempts to increase the photospeed while maintaining low LER have produced a resist with sizing dose of 1.7 mJ/cm2 and LER of 6.6 nm 3σ. Photospeed is important because EUV photons are difficult to create, and the photoresist must use them efficiently for economically acceptable throughput. Throughput models indicate that patterning doses may need to be 1-2 mJ/cm2, and only 30-40% of these photons will be absorbed, so the resists must be able to accommodate statistical dose fluctuations that are an appreciable fraction of the mean dose. Highly sensitive resists such as these have been produced with good LER. Since all resist materials absorb EUV radiation strongly, the photoresist layer will have to be less than 150 nm thick. Resists this thin pose problems for device manufacturing, largely because they will not have acceptable etch resistance, and this etch resistance will have to be recovered in some other way. Efforts have begun to integrate hard masks with thin resists in real device fabrication. Defect data indicate that defect densities do not increase in resist films less than 100 nm thick, and transistors, via chains, and microprocessors have all been fabricated with these thin-resist/hard-mask integrations.


2012 ◽  
Vol 98 ◽  
pp. 24-28 ◽  
Author(s):  
Alessandro Vaglio Pret ◽  
Pavel Poliakov ◽  
Roel Gronheid ◽  
Pieter Blomme ◽  
Miguel Miranda Corbalan ◽  
...  

Sign in / Sign up

Export Citation Format

Share Document