EUV Lithography: Patterning to the End of the Road

2001 ◽  
Vol 705 ◽  
Author(s):  
Jonathan L. Cobb ◽  
Robert L. Brainard ◽  
Donna J. O'Connell ◽  
Paul M. Dentinger

AbstractExtreme Ultraviolet (EUV) lithography is gaining momentum as the patterning technology of choice for the semiconductor nodes with less than 70-nm half-pitch. As such, it must be ready for manufacturing in the 2006-2007 time frame, and it must be extendable to the lower limits of CMOS technology. Successful patterning of 40-nm dense lines in viable EUV photoresists indicates that today's resist materials may have the necessary resolution, but better optics are needed to verify this more rigorously. Although little is understood about the impact of line-edge roughness (LER) on device performance, it is generally assumed that EUV LER must be less than 3 nm 3σ. EUV lines have been printed with LER as low as 4 nm 3σ, but they were printed with unacceptable photospeed. Deliberate attempts to increase the photospeed while maintaining low LER have produced a resist with sizing dose of 1.7 mJ/cm2 and LER of 6.6 nm 3σ. Photospeed is important because EUV photons are difficult to create, and the photoresist must use them efficiently for economically acceptable throughput. Throughput models indicate that patterning doses may need to be 1-2 mJ/cm2, and only 30-40% of these photons will be absorbed, so the resists must be able to accommodate statistical dose fluctuations that are an appreciable fraction of the mean dose. Highly sensitive resists such as these have been produced with good LER. Since all resist materials absorb EUV radiation strongly, the photoresist layer will have to be less than 150 nm thick. Resists this thin pose problems for device manufacturing, largely because they will not have acceptable etch resistance, and this etch resistance will have to be recovered in some other way. Efforts have begun to integrate hard masks with thin resists in real device fabrication. Defect data indicate that defect densities do not increase in resist films less than 100 nm thick, and transistors, via chains, and microprocessors have all been fabricated with these thin-resist/hard-mask integrations.

2017 ◽  
Vol 50 (6) ◽  
pp. 1766-1772 ◽  
Author(s):  
Analía Fernández Herrero ◽  
Mika Pflüger ◽  
Jürgen Probst ◽  
Frank Scholze ◽  
Victor Soltwisch

Lamellar gratings are widely used diffractive optical elements; gratings etched into Si can be used as structural elements or prototypes of structural elements in integrated electronic circuits. For the control of the lithographic manufacturing process, a rapid in-line characterization of nanostructures is indispensable. Numerous studies on the determination of regular geometry parameters of lamellar gratings from optical and extreme ultraviolet (EUV) scattering highlight the impact of roughness on the optical performance as well as on the reconstruction of these structures. Thus, a set of nine lamellar Si gratings with a well defined line edge roughness or line width roughness were designed. The investigation of these structures using EUV small-angle scattering reveals a strong correlation between the type of line roughness and the angular scattering distribution. These distinct scattering patterns open new paths for the unequivocal characterization of such structures by EUV scatterometry.


Author(s):  
Takahiro KOZAWA

Abstract The manufacturing of semiconductor devices using extreme ultraviolet (EUV) lithography started in 2019. A high numerical aperture (NA) tool under development is capable of resolving 8 nm line-and-space optical images and will extend the application of EUV lithography. However, resist materials have not been yet applicable to the production with 8 nm resolution. In this study, the relationships among the half-pitch of line-and-space patterns (resolution), chemical gradient [an indicator of line edge roughness (LER)], and sensitivity were investigated in the sub-10 nm half-pitch region for chemically amplified EUV resists. The chemical gradient was simulated on the basis of their sensitization and reaction mechanisms. The relationship was formulated as a function of total sensitizer concentration (the sum of photoacid generator and photodecomposable quencher concentrations) and the thermalization distance of secondary electrons. The effect of thermalized electrons was well incorporated into the trade-off relationships between resolution, LER, and sensitivity.


Author(s):  
Harry Jay Levinson

Abstract High-NA extreme ultraviolet (EUV) lithography is currently in development. Fabrication of exposure tools and optics with a numerical aperture (NA) equal to 0.55 has started at ASML and Carl Zeiss. Lenses with such high NA will have very small depths-of-focus, which will require improved focus systems and significant improvements in wafer flatness during processing. Lenses are anamorphic to address mask 3D issues, which results in wafer field sizes of 26 mm × 16.5 mm, half that of lower NA EUV tools and optical scanners. Production of large die will require stitching. Computational infrastructure is being created to support high-NA lithography, including simulators that use Tatian polynomials to characterize the aberrations of lenses with central obscurations. High resolution resists that meet the line-edge roughness (LER) and defect requirements for high-volume manufacturing (HVM) also need to be developed. High power light sources will also be needed to limit photon shot noise.


2007 ◽  
Vol 46 (9B) ◽  
pp. 6198-6201 ◽  
Author(s):  
Yasuyuki Fukushima ◽  
Takeo Watanabe ◽  
Ryuji Ohnishi ◽  
Hideaki Shiotani ◽  
Shouta Suzuki ◽  
...  

Micromachines ◽  
2021 ◽  
Vol 12 (12) ◽  
pp. 1493
Author(s):  
Sang-Kon Kim

Although extreme ultraviolet lithography (EUVL) has potential to enable 5-nm half-pitch resolution in semiconductor manufacturing, it faces a number of persistent challenges. Line-edge roughness (LER) is one of critical issues that significantly affect critical dimension (CD) and device performance because LER does not scale along with feature size. For LER creation and impacts, better understanding of EUVL process mechanism and LER impacts on fin-field-effect-transistors (FinFETs) performance is important for the development of new resist materials and transistor structure. In this paper, for causes of LER, a modeling of EUVL processes with 5-nm pattern performance was introduced using Monte Carlo method by describing the stochastic fluctuation of exposure due to photon-shot noise and resist blur. LER impacts on FinFET performance were investigated using a compact device method. Electric potential and drain current with fin-width roughness (FWR) based on LER and line-width roughness (LWR) were fluctuated regularly and quantized as performance degradation of FinFETs.


Polymers ◽  
2020 ◽  
Vol 12 (12) ◽  
pp. 2971
Author(s):  
Joren Severi ◽  
Danilo De Simone ◽  
Stefan De Gendt

Extreme ultra-violet lithography (EUVL) is the leading-edge technology to produce advanced nanoelectronics. The further development of EUVL is heavily based on implementing the so-called high numerical aperture (NA) EUVL, which will enable even smaller pitches up to 8 nm half pitch (HP). In anticipation of this high NA technology, it is crucial to assess the readiness of the current resist materials for the high NA regime to comply with the demanding requirements of resolution, line-edge roughness, and sensitivity (RLS). The achievable tighter pitches require lower film thicknesses for both resist and underlying transfer layers. A concern that is tied to the thinning down is the potential change in resist properties and behavior due to the interaction with the underlayer. To increase the fundamental understanding of ultra-thin films for high NA EUVL, a method to investigate the interplay of reduced film thickness and different patterning-relevant underlayers is developed by looking at the glass transition temperature (Tg) of polymer-based resists. To minimize the ambiguity of the results due to resist additives (i.e., photoacid generator (PAG) and quencher), it was opted to move forward with polymer-only samples, the main component of the resist, at this stage of the investigation. By using dielectric response spectroscopy, the results obtained show that changing the protection group of the polymer, as well as altering the polymer film thickness impacts the dynamics of the polymer mobility, which can be assessed through the Tg of the system. Unexpectedly, changing the underlayer did not result in a clear change in the polymer mobility at the tested film thicknesses.


Sign in / Sign up

Export Citation Format

Share Document