Cost Analysis of TSV Process and Scaling Options

2014 ◽  
Vol 2014 (1) ◽  
pp. 000001-000007
Author(s):  
Victor Vartanian ◽  
Larry Smith ◽  
Klaus Hummler ◽  
Steve Olson ◽  
Brian Sapp ◽  
...  

SEMATECH evaluated the impact of various process options on the overall manufacturing cost of a TSV module, from TSV lithography and etch through post-plate CMP. The purpose of this work was to understand the cost differences of these options in order to identify opportunities to significantly reduce cost. Included in this study were multiple process and materials options for TSV etch, liner, and barrier/seed (B/S). For each of these options, recipes were adjusted for post-etch clean, ECD Cu fill and CMP overburden, and the resulting cost impacts were evaluated. The TSV dimensions used in this study are 5x50 μm and 2x40 μm. These cost comparisons included a sensitivity analysis, highlighting the main factors responsible for the differences. Cost of materials, tool cost, and throughput were the primary factors affecting cost differences, especially in barrier/seed deposition. In some cases the contributions from both these sources were comparable. We explain the assumptions used and some of the uncertainties inherent in this work. For example, where materials costs were significant, we extrapolated the cost of new materials from research quantities to those needed to support high volume manufacturing. We had to estimate throughputs and materials costs using our best engineering judgment, because the recipes have not yet been optimized. We also considered that the tools used on some non-critical steps might be fully depreciated, or a lower cost tool such as is used in wafer level packaging. Despite these uncertainties and assumptions, we were able to extract some fairly clear conclusions. The process options include the following B/S variations: For 5x50 μm TSVs, the B/S film structure is TaN/Ta/Ru/Cu, and the options are with and without the Ru and/or Cu layers. For 2x40 μm TSVs, the B/S structure is TaN/Ru/Cu, with different thicknesses of Ru, and the Cu is an optional seed layer for the field. We also discuss the impact of scaling the TSV dimensions on manufacturing costs. This work is continuing to look at different process options and to apply this methodology to MEOL modules such as temporary bond and debond, wafer thinning, and TSV reveal.

2021 ◽  
Author(s):  
Shruti Choudhary ◽  
Michael J Durkin ◽  
Daniel C Stoeckel ◽  
Heidi M Steinkamp ◽  
Martin H Thornhill ◽  
...  

Objectives: To determine the impact of various aerosol mitigation interventions and establish duration of aerosol persistence in a variety of dental clinic configurations. Methods: We performed aerosol measurement studies in endodontic, orthodontic, periodontic, pediatric, and general dentistry clinics. We used an optical aerosol spectrometer and wearable particulate matter sensors to measure real-time aerosol concentration from the vantage point of the dentist during routine care in a variety of clinic configurations (e.g, open bay, single room, partitioned operatories). We compared the impact of aerosol mitigation strategies [ventilation and high-volume evacuation (HVE)] and prevalence of particulate matter in the dental clinic environment before, during and after high-speed drilling, slow speed drilling and ultrasonic scaling procedures. Results: Conical and ISOVAC HVE were superior to standard tip evacuation for aerosol-generating procedures. When aerosols were detected in the environment, they were rapidly dispersed within minutes of completing the aerosol-generating procedure. Few aerosols were detected in dental clinics, regardless of configuration, when conical and ISOVAC HVE were used. Conclusions: Dentists should consider using conical or ISOVAC HVE rather than standard tip evacuators to reduce aerosols generated during routine clinical practice. Furthermore, when such effective aerosol mitigation strategies are employed, dentists need not leave dental chairs fallow between patients as aerosols are rapidly dispersed. Clinical Significance: ISOVAC HVE is highly effective in reducing aerosol emissions. With adequate ventilation and HVE use, dental fallow time can be reduced to 5 minutes.


2021 ◽  
Author(s):  
Mei-Chien Lu

Abstract Hybrid bonding has been explored for more than a decade and implemented recently in high volume production at wafer-to-wafer level for image sensor applications to enable high performance chip-stacking architectures with ultra-high-density chip-to-chip interconnect. The feasibility of sub-micron hybrid bond pitch leading to ultra-high-density chip-to-chip interconnect has been demonstrated due to the elimination of solder bridging issues from microbump method. Hybrid bonding has also been actively considered for logic and memory chip-stacking, chiplets, and heterogeneous integration in general but encountering additional challenges for bonding at die-to-wafer or die-to-die level. Overlay precision, throughput, wafer dicing are among the main causes. Widening the process margin against overlay error by designing innovative hybrid bonding pad structure is highly desirable. This work proposes a method to evaluate these hybrid bonding pad structure designs and to assess the potential performance metrics by analyzing interfacial characteristics at design phase. The bonding areas and ratios of copper-copper, copper-dielectric, and dielectric-dielectric are the proposed key parameters. The correlation between bonding area ratios and overlay errors can provide insights on the sensitivity to process margins. Nonetheless, the impact of copper recess or protrusion associated with bonding area ratios are also highlighted. The proposed method is demonstrated by examining and analyzing the hybrid bonding pad structure design concepts from a few cases reported in literatures as examples. Concerns are identified for elaboration in future designs and optimizations.


Author(s):  
Amy Lujan

In recent years, the possibility of panels replacing wafers in some fan-out applications has been a topic of interest. Questions of cost and yield continue to arise even as the industry appears to be full steam ahead. While large panels allow for more packages to be produced at once, the cost does not scale simply based on how many more packages can be generated from a panel over a wafer. This analysis begins by breaking down the types of cost and will discuss how those types of cost are impacted (or not) by the shift from wafer to panel. Activity based cost modeling is used; this is a detailed, bottom-up approach that takes into account each type of cost for each activity in a process flow. Two complete cost models were constructed for this analysis. A variety of package sizes are analyzed, and multiple panel sizes are included as well. For each set of activities in the fan-out process flow, there is an explanation of how the process changes with the move to panel, including assumptions related to throughput, equipment price, and materials. The cost reduction that may be achieved at each package and panel size will be presented for each processing segment. The focus of this analysis is on the details of each segment of the process flow, but results for the total cost of various packages will also be presented. There is also a section of analysis related to the impact of yield on the competitiveness of panel processing.


2012 ◽  
Vol 2012 (1) ◽  
pp. 000201-000208 ◽  
Author(s):  
Alberto Martins ◽  
Nelson Pinho ◽  
Harald Meixner

NANIUM S.A. Portugal recently started producing eWLB fan-out [1][2] wafer level packaging technology on 300mm reconstituted wafers. Initial setup of this process demonstrated that the stable die Pick&Place accuracy plays a key role for product feasibility. In the subsequent volume production ramp-up it became apparent that the dynamic expansion of molded eWLB wafers, caused by thermal stress and CTE mismatch throughout the thin film redistribution and passivation layer up to bumping and reflow manufacturing processes requires a very tight die position monitoring over the complete wafer diameter. Feedback loop to the initial die placement and implementation of correction measures is essential to meet the quality and yield targets of different product configurations (die sizes, distance between dies, die thickness, wafer thickness, single die or system-inpackage) in high volume manufacturing. Stability and repeatability is of outermost importance. The paper will discuss the effects seen on the wafer, the monitoring and the strategies for feedback loop process enabling implementation of corrections into the reconstituted wafer before forming the artificial backend wafer by compression molding. The setup of adequate metrology steps throughout the process line supports the control of the various interlayer alignments. The end result is a centered process in the initial Pick&Place and various subsequent lithography steps (Stepper and Mask Aligner). Sustained data availability and processed data visualization made possible the development of an elaborate theoretical model enabling systematic optimizations of machine parameters and material expansion/compression correction factors. The model also permits the immediate visualization of the impact of each machine parameter on the global result.


2016 ◽  
Vol 2016 (1) ◽  
pp. 000321-000325
Author(s):  
Bob Chylak ◽  
Horst Clauberg ◽  
Tom Strothmann

Abstract Device packaging is undergoing a proliferation of assembly options within the ever-expanding category of Advanced Packaging. Fan Out-Wafer Level Packages are achieving wide adoption based on improved performance and reduced package size and new System in Package products are coming to market in FOWLP, 2.5D and 3D package formats with the full capability to leverage heterogeneous integration in small package profiles. While the wide-spread adoption of thermocompression bonding and 2.5D packages predicted several years ago has not materialized to the extent predicted, advanced memory modules assembled by TCB are in high volume manufacturing, as are some high-end GPUs with integrated memory on Si interposer. High accuracy flip chip has been pushed to fine pitches that were difficult to imagine only three years ago and innovation in substrates and bonder technology is pushing the throughput and pitch capability even further. The packaging landscape, once dominated by a few large assembly providers, now includes turn-key packaging initiatives from the foundries with an expanding set of fan-out packing options. The fan-out processes include face-up and face-down methods, die first and die last methods and 2.5D or 3D package options. Selection of the most appropriate packaging technology from the combined aspects of electrical performance, form-factor, yield and cost presents a complex problem with considerable uncertainty and high risk for capital investment. To address this problem, the industry demands flexible manufacturing solutions that can be modified and upgraded to accommodate a changing assembly environment. This presentation will present the assembly process flows for various packaging options and discuss the key aspects of the process that influence throughput, accuracy and other key quality metrics, such as package warpage. These process flows in turn impose design constraints on submodules of the bonder. It will be shown that thoughtfully designed machine architecture allows for interchangeable and upgradeable submodules that can support nearly the entire range of assembly options. As an example, a nimble, low weight, medium force, constant heat bondhead for high throughput FOWLP can be interchanged with a high force, pulse heater bondhead to support low stress/low warpage thermocompression bonding. The various configuration options for a flexible advanced packaging bonder will be reviewed along with the impact of configuration changes on throughput and accuracy.


2019 ◽  
Vol 24 (3) ◽  
pp. 630-654 ◽  
Author(s):  
Majid Parchami Jalal ◽  
Shahab Shoar

Purpose This paper aims to model different causal relations among factors interacting with labour productivity in order to recognize the most important factors influencing and influenced by it. Design/methodology/approach Top 60 factors affecting labour productivity were determined and grouped into 5 major groups by reviewing previous research and interviewing relevant experts. The interactions of factors were modelled using system dynamics (SD) approach. The resulting causal loop diagrams obtained from SD were then applied for identifying the most crucial factors influencing and influenced by labour productivity through the decision-making trial and evaluation laboratory (DEMATEL) method. The impact of factors on each other was finally determined based on the opinions of 63 experts selected from the Iranian construction industry. Findings The results indicated that factors such as fatigue, lack of labour motivation and lack of skill are the most influencing, and factors such as schedule delay and inflation in the cost of execution are the most influenced by labour productivity. In the end, a set of recommendations to improve construction labour productivity was also presented. Originality/value The main contribution of the study is proposing a novel method which is capable of providing insights into how causes and effects of construction labour productivity are interrelated. Furthermore, the proposed method makes this study distinct from previous research in the light of prioritizing factors and offering recommendations according to the interrelationships among factors.


2017 ◽  
Vol 13 (4) ◽  
pp. e273-e282 ◽  
Author(s):  
Ankit Agarwal ◽  
Rachel A. Freedman ◽  
Felicia Goicuria ◽  
Catherine Rhinehart ◽  
Kathleen Murphy ◽  
...  

Introduction: The cost and burden associated with prior authorization (PA) for specialty medications are concerns for oncologists, but the impact of the PA process on care delivery has not been well described. We examined PA processes and approval patterns within a high-volume breast oncology clinic at a major academic cancer center. Methods: We met with institutional staff to create a PA workflow and process map. We then abstracted pharmacy and medical records for all patients with breast cancer (N = 279) treated at our institution who required a PA between May and November 2015 (324 prescriptions). We examined PA approval rates, time to approval, and associations of these outcomes with the type of medication being prescribed, patient demographics, and method of PA. Results: Seventeen possible process steps and 10 decision points were required for patients to obtain medications requiring a PA. Of the 324 PAs tracked, 316 (97.5%) were approved on the first PA request after an average time of 0.82 days (range, 0 to 14 days). Approximately half of PAs were for either palbociclib (26.5%) or pegfilgrastim (22.2%), and 13.6% of PAs were for generic hormonal therapy. Requirements to fax PA requests were associated with greater delay in approval time (1.31 v 0.17 days for online requests; P < .001). The use of specialty pharmacies increased staff burden and delays in medication receipt. Conclusion: The PA process is complicated and labor intensive. Given the high PA approval rate, it is unlikely that PA requirements reduce medication utilization in practice, and these requirements may impose unnecessary burdens on patient care. The goals and requirements for PAs should be readdressed.


2013 ◽  
Vol 31 (15_suppl) ◽  
pp. e15087-e15087
Author(s):  
Pablo Emilio Serrano Aybar ◽  
Peter Tae Wan Kim ◽  
Kenneth Leung ◽  
Sean P. Cleary ◽  
Steven Gallinger ◽  
...  

e15087 Background: Adjuvant therapy for pancreatic adenocarcinoma is now considered standard of care. The proportion of patients receiving adjuvant therapy (ADT) following pancreatic resection is a good quality indicator of cancer care. The aim of this study was to evaluate factors associated with receiving ADT in patients with pancreatic cancer. Methods: Between years 2000-2010, all patients undergoing pancreaticoduodenectomy for pancreatic adenocarcinoma at a single high-volume hepatotopancreatobiliary center were evaluated. The impact of demographic, peri-operative and pathological risk factors affecting the administration of ADT were analyzed using univariate and multivariate logistic regression analysis. Results: There were 258 patients identified. Median age was 65 (37-84) years, 54% were females. There was a 15% margin positivity rate, 14% pancreatic leak rate, 14.7% major complication rate, and 1.2% 90 day/in-hospital mortality rate. Overall, 160/258 (70%) of patients received adjuvant therapy. On multivariate analysis; age, presence of major complications, node-negative disease and earlier era (2000-2004) were significantly associated with a lower probability of receiving ADT. Reasons for not receiving ADT were; patient preference: 20/67 (32%), not recommended: 14/67 (23%), disease recurrence: 12/67 (9.5%) and being medically unfit for ADT: 18/67 (11.5%). None of these reasons were different between time-periods except for fewer patients being offered ADT from 2000-2005 (15.4% vs. 2.5%, p <0.001). Conclusions: Thirty percent of patients do not receive ADT following pancreatectomy. Those with advanced age; node-negative disease and those who had major complications after pancreaticoduodenectomy were less likely to receive ADT. The impact of these factors should be taken into account when considering the administration of ADT.


2014 ◽  
Vol 575 ◽  
pp. 910-916
Author(s):  
Samir Mekid

This paper reports on the impact of Value Analysis Value Engineering (VAVE) applied to an industrial product where manufacturability and choice of manufacturing methods can be of great benefit in reducing cost and sometimes manufacturing time in a mass production line. The purpose of this requested task is to reduce the cost of a specific product using VAVE analysis through better value estimation related to suitable manufacturing process while maintaining similar or better technical performance. A couple of technical design solutions are proposed and discussed with study cases. The work is progressing towards the final stage.


2021 ◽  
Vol 2 (1) ◽  
pp. 49-55
Author(s):  
Riyanto ◽  
R.A.J Susilo Hadi Wibowo ◽  
Fajar Transelasi ◽  
Dewi Kartika Sari

The performance system at the container terminal at Semarang Container Terminal (SCT) is not yet good, it can be seen from the average dwelling time which is still long. Dwelling time optimization needs to be done in order to get a balance of projected increases during trading and to compensate for the various limitations of terminal facilities and infrastructure. One of them is the container handling procedure using an application, namely the cost terminal operating system application. With the qualitative descriptive method, the dwelling time and container handling procedures will be explained using a cost terminal operation system application and the quantitative method is used to find out how much use is in the presence of a vehicle terminal using the formula. There is a very significant positive effect of dwelling time on Port revenue. The long dwelling time is due to several factors, such as high volume / import demand which causes queues during the inspection procedure, 24-hour system inability to perform inspection procedures, weather conditions, and importer readiness. By implementing this system there will be an acceleration of the dwelling time so that Beneficial to importers and the impact caused by container dwelling time after using the cost terminal operation system application is that the waiting time can be reduced.


Sign in / Sign up

Export Citation Format

Share Document