Extraction of effective dielectric constants and the effect of process damage of low-k dielectrics for advanced interconnects

2006 ◽  
Vol 515 (3) ◽  
pp. 1203-1205 ◽  
Author(s):  
Y.L. Cheng ◽  
Y.L. Wang ◽  
H.C. Chen
2018 ◽  
Author(s):  
K. A. Rubin ◽  
W. Jolley ◽  
Y. Yang

Abstract Scanning Microwave Impedance Microscopy (sMIM) can be used to characterize dielectric thin films and to quantitatively discern film thickness differences. FEM modeling of the sMIM response provides understanding of how to connect the measured sMIM signals to the underlying properties of the dielectric film and its substrate. Modeling shows that sMIM can be used to characterize a range of dielectric film thicknesses spanning both low-k and medium-k dielectric constants. A model system consisting of SiO2 thin films of various thickness on silicon substrates is used to illustrate the technique experimentally.


2005 ◽  
Vol 863 ◽  
Author(s):  
P.M. Capani ◽  
P.D. Matz ◽  
D.W. Mueller ◽  
M.J. Kim ◽  
E.R. Walter ◽  
...  

AbstractWater adsorption by porous low-k silica films results in increased dielectric constants and is often due to silanol groups on the pore surfaces. Reacting the silanols with silylating agents (e.g., hexamethyldisilazane (HMDS) and trimethylchlorosilane (TMCS)) in supercritical CO2 (SC-CO2) can increase film hydrophobicity and can remove adsorbed water. In porous methylsilsesquioxane (MSQ) films (average pore size ∼ 3-4nm), it has been determined that supercritical silylation reactions do not substantially penetrate beyond the film surface.1,2 In this work we have examined the supercritical penetration behavior of silylating agents in low-k films with larger pore sizes (5-10nm). The depth and extent of reactants was determined by in situ infrared spectroscopy (FTIR), and surface hydrophobicity was examined by contact angle experiments.


2005 ◽  
Vol 863 ◽  
Author(s):  
Bum-Gyu Choi ◽  
Byung Ro Kim ◽  
Myung-Sun Moon ◽  
Jung-Won Kang ◽  
Min-Jin Ko

AbstractReducing interline capacitance and line resistance is required to minimize RC delays, reduce power consumption and crosstalk below 100nm node technology. For this purpose, various inorganic- and organic polymers have been tested to reduce dielectric constants in parallel with the use of copper as metal line. Lowering the dielectric constants, in particular, causes the detrimental effect on mechanical properties, and then leads to film damage and/or delamination during chemical-mechanical planarization CMP) or repeated thermal cure cycles. To overcome this issue, new carbon-bridged hybrid materials synthesized by organometallic silane precursors and sol-gel reaction are proposed.In this work, we have developed new organic-inorganic hybrid low-k dielectrics with linear or cyclic carbon bridged structures. The differently bridged carbon structures were formed by a controlled reaction. 1H NMR, 29Si NMR analysis and GC/MSD analysis were conducted for the structural characterization of new hybrid low-k dielectric. The mechanical and dielectric properties of these hybrid materials were characterized by using nanoindentation with continuous stiffness measurement and Al dot MIS techniques. The results indicated that these organic-inorganic hybrid materials were very promising polymers for low-k dielectrics that had low dielectric constants with high thermal and mechanical properties. It has been also demonstrated that electrical and mechanical properties of the hybrid films could be tailored by copolymerization with PMSSQ and through the introduction of porogen.


2003 ◽  
Vol 766 ◽  
Author(s):  
Jingyu Hyeon-Lee ◽  
Jihoon Rhee ◽  
Jungbae Kim ◽  
Jin-Heong Yim ◽  
Seok Chang

AbstractLow dielectric fluoro-containing poly(silsesquioxanes) (PSSQs) have been synthesized using trifluoropropyl trimethoxysilane (TFPTMS), methyl trimethoxysilane (MTMS), and 2, 4, 6, 8-tetramethyl-2, 4, 6, 8-tetra(trimethoxysilylethyl) cyclotetrasiloxane. The properties of fluorocontaining PSSQs based thin films were studied by electrical, mechanical, and structural characterization. Film was spun on a silicon substrate, baked at 150°C and 250°C for 1 minute, respectively, and cured in the furnace at 420°C for 1 hour under vacuum condition. Thermally decomposable trifluoropropyl groups of the fluoro-containing PSSQ were served as a pore generator and partially contributed to lower a dielectric constant. â-cyclodextrin (CD) was also employed as a pore generator. The concentration of the pore generator in the film was varied from 0 to 30 %. The dielectric constants of the porous PSSQ films were found to be in the range of 2.7 – 1.9 (at 100 kHz). Hardness and Young's modulus of the films were measured by nano-indentation. The elastic modulus and hardness of the porous films were well correlated with the concentration of the pore generators. Positronium Annihilation Lifetime Spectroscopy (PALS) was employed to characterize a pore size of the porous fluoro-containing PSSQ film. The pore size of the film was less than 2.2 nm. The nanoporous films showed quite promising properties for commercial application.


2010 ◽  
Vol 1249 ◽  
Author(s):  
George Andrew Antonelli ◽  
Gengwei Jiang ◽  
Mandyam Sriram ◽  
Kaushik Chattopadhyay ◽  
Wei Guo ◽  
...  

AbstractOrganosilicate materials with dielectric constants (k) ranging from 3.0 to 2.2 are in production or under development for use as interlayer dielectric materials in advanced interconnect logic technology. The dielectric constant of these materials is lowered through the addition of porosity which lowers the film density, making the patterning of these materials difficult. The etching kinetics and surface roughening of a series of low-k dielectric materials with varying porosity and composition were investigated as a function of ion beam angle in a 7% C4F8/Ar chemistry in an inductively-coupled plasma reactor. A similar set of low-k samples were patterned in a single damascene scheme. With a basic understanding of the etching process, we will show that it is possible to proactively design a low-k material that is optimized for a given patterning. A case study will be used to illustrate this point.


2004 ◽  
Vol 812 ◽  
Author(s):  
J. Liu ◽  
W. D. Wang ◽  
L. Wang ◽  
D. Z. Chi ◽  
K. P. Loh

AbstractUltra low dielectric constant (κ) material is needed as the inter-metal dielectrics to reduce RC delay when device dimension is scaled to sub-100nm. Porous dielectric films have been considered as good candidates for the application as inter-metal dielectrics due to their ultra low-k properties. Identifying proper dielectric copper diffusion barrier on the porous low-k films is critical for the low-k/Cu damascene fabrication process. In this study, we have evaluated the compatibility of plasma-deposited amorphous Boron Nitride film as a dielectrics copper diffusion barrier on a MSQ-based porous low-k LKD5109 film (from JSR). Both microwave plasma enhanced CVD (2.45 GHz) and radio-frequency plasma enhanced CVD (13.56 MHz) were applied for the BN deposition in order to evaluate the compatibility of the two plasma processes with the porous film. Growth parameters were optimized to minimize the boron diffusion and carbon depletion in the porous low-k films, which were found to have deleterious effects on the dielectric properties of the low-k films. FTIR and micro-Raman were employed for analyzing the changes in chemical structure of the low-k films after BN growth. Capacitance-voltage measurement was used to characterize the dielectric constants of BN film on Si and the BN-deposited porous low-k film. SIMS characterization was carried out to evaluate the performance of the BN film against copper diffusion.


2004 ◽  
Vol 812 ◽  
Author(s):  
Jung-Won Kang ◽  
Byung Ro Kim ◽  
Gwi-Gwon Kang ◽  
Myung-Sun Moon ◽  
Bum-Gyu Choi ◽  
...  

AbstractSpin-on Low-K materials are potentially very attractive as interconnection materials in a wide range of semiconductor structures. In this work, new organic-inorganic hybrid materials synthesized by vinylsilane polymerization were proposed. According to compositions and additional fabrications, dielectric constants of these materials were evaluated to be 2.3∼3.1. The hardness was 2.0GPa after 430°C curing. These materials had good adhesion strength such that fracture toughness on silicon wafer was 0.22 MPam0.5 without any adhesion promoters. This result indicates that these organicinorganic hybrid materials are very promising candidates for low-K dielectrics.


1996 ◽  
Vol 443 ◽  
Author(s):  
A. Grill ◽  
V. Patel ◽  
K.L. Saenger ◽  
C. Jahnes ◽  
S.A. Cohen ◽  
...  

AbstractA variety of diamondlike carbon (DLC) materials were investigated for their potential applications as low-k dielectrics for the back end of the line (BEOL) interconnect structures in ULSI circuits. Hydrogenated DLC and fluorine containing DLC (FDLC) were studied as a low-k interlevel and intralevel dielectrics (ILD), while silicon containing DLC (SiDLC) was studied as a potential low-k etch stop material between adjacent DLC based ILD layers, which can be patterned by oxygen-based plasma etchingIt was found that the dielectric constant (k) of the DLC films can be varied between >3.3 and 2.7 by changing the deposition conditions. The thermal stability of these DLC films was found to be correlated to the values of the dielectric constant, decreasing with decreasing k. While DLC films having dielectric constants k>3.3 appeared to be stable to anneals of 4 hours at 400 °C in He, a film having a dielectric constant of 2.7 was not, losing more than half of its thickness upon exposure to the same anneal. The stresses in the DLC films were found to decrease with decreasing dielectric constant, from 700 MPa to about 250 MPa. FDLC films characterized by a dielectric constant of about 2.8 were found to have similar thermal stability as DLC films with k >3.3. The thermally stable FDLC films have internal stresses <300 MPa and are thus promising candidates as a low-k ILD.For the range of Si contents examined (0-9% C replacement by Si), SiDLC films with a Si content of around 5% appear to provide an effective etch-stop for oxygen RIE of DLC or FDLC films, while retaining desirable electrical characteristics. These films showed a steady state DLC/SiDLC etch rate ratio of about 17, and a dielectric constant only about 30% higher than the 3.3 of DLC.


2003 ◽  
Vol 83 (13) ◽  
pp. 2644-2646 ◽  
Author(s):  
Seung-Hyun Rhee ◽  
Martin D. Radwin ◽  
Man Fai Ng ◽  
Jeremy I. Martin ◽  
Darrell Erb
Keyword(s):  

Sign in / Sign up

Export Citation Format

Share Document