Interference Lithography Patterned Nanogratings in LiNbO3 Fabricated by Dry Etching

2014 ◽  
Vol 1049-1050 ◽  
pp. 7-10
Author(s):  
Qiong Chan Gu ◽  
Xiao Xiao Jiang ◽  
Jiang Tao Lv ◽  
Guang Yuan Si

Channel waveguides have been fabricated in x-cut lithium niobate (LiNbO3) by proton exchange (PE) method and optically measured. The thickness and the optical constants of the thin PE layer were characterized using a prism coupling technique. The PE area was plasma etched and a 2.775-μm total etching depth was achieved. The measured average etching rate is 92.5 nm/min. One-and two-dimensional dense arrays of LiNbO3 nanostructures have also been fabricated by using interference lithography (IL) and inductively coupled plasma reactive ion etching (ICP-RIE) techniques.Intorduction

2010 ◽  
Vol 09 (04) ◽  
pp. 311-315
Author(s):  
G. Y. SI ◽  
A. J. DANNER ◽  
J. H. TENG ◽  
S. S. ANG ◽  
A. B. CHEW ◽  
...  

Channel waveguides have been fabricated in x-cut lithium niobate (LiNbO3) by proton exchange (PE) method and optically measured. The thickness and the optical constants of the thin PE layer were characterized using a prism coupling technique. The PE area was plasma etched and a 2.775-μm total etching depth was achieved. The measured average etching rate is 92.5 nm/min. One- and two-dimensional dense arrays of LiNbO3 nanostructures have also been fabricated by using interference lithography (IL) and inductively coupled plasma reactive ion etching (ICP-RIE) techniques.


Author(s):  
Gang Zhao ◽  
Qiong Shu ◽  
Yue Li ◽  
Jing Chen

A novel technology is developed to fabricate high aspect ratio bulk titanium micro-parts by inductively coupled plasma (ICP) etching. An optimized etching rate of 0.9 μm/min has been achieved with an aspect ratio higher than 10:1. For the first time, SU-8 is used as titanium etching mask instead of the traditional hard mask such as TiO2 or SiO2. With an effective selectivity of 3 and a spun-on thickness beyond 100 μm, vertical etching sidewall and low sidewall roughness are obtained. Ultra-deep titanium etching up to 200 μm has been realized, which is among the best of the present reports. Titanium micro-springs and planks are successfully fabricated with this approach.


2012 ◽  
Vol 1396 ◽  
Author(s):  
Hamad A. Albrithen ◽  
Gale S. Petrich ◽  
Leslie A. Kolodziejski ◽  
Abdelmajid Salhi ◽  
Abdulrahman A. Almuhanna

ABSTRACTWe report the dry etch of GaSb(001) by inductively coupled plasma reactive ion etcher. Silicon Oxide, deposited by PECVD, was used as a mask. The oxide layer proved to be almost unaffected compared to the GaSb, when using chlorine compound gases as etchants (Cl2, BCl3, and SiCl4) as well as argon. This provides high selectivity for GaSb to the mask layer. The sample holder has no silicon that may contribute to the etching process. Etching using Cl2 + Ar showed increase in the etching rate as the chlorine ratio increases; however, the process led to grassy surface and chemical like reaction. The use of SiCl4+Cl2+Ar mixture with low chlorine ratio resulted in anisotropic etch with smooth sides. It has been found for this case that the increase of the chlorine ratio led to an increased etching rate as well. The repeat of previously reported result by Swaminathan et al. [Thin Solid Films 516 (2008) 8712.] yet with a sample holder not having silicon, proved the effect of Si-contribution in producing vertical profile etch with smooth surfaces.


Materials ◽  
2021 ◽  
Vol 15 (1) ◽  
pp. 123
Author(s):  
Katarzyna Racka-Szmidt ◽  
Bartłomiej Stonio ◽  
Jarosław Żelazko ◽  
Maciej Filipiak ◽  
Mariusz Sochacki

The inductively coupled plasma reactive ion etching (ICP-RIE) is a selective dry etching method used in fabrication technology of various semiconductor devices. The etching is used to form non-planar microstructures—trenches or mesa structures, and tilted sidewalls with a controlled angle. The ICP-RIE method combining a high finishing accuracy and reproducibility is excellent for etching hard materials, such as SiC, GaN or diamond. The paper presents a review of silicon carbide etching—principles of the ICP-RIE method, the results of SiC etching and undesired phenomena of the ICP-RIE process are presented. The article includes SEM photos and experimental results obtained from different ICP-RIE processes. The influence of O2 addition to the SF6 plasma as well as the change of both RIE and ICP power on the etching rate of the Cr mask used in processes and on the selectivity of SiC/Cr etching are reported for the first time. SiC is an attractive semiconductor with many excellent properties, that can bring huge potential benefits thorough advances in submicron semiconductor processing technology. Recently, there has been an interest in SiC due to its potential wide application in power electronics, in particular in automotive, renewable energy and rail transport.


RSC Advances ◽  
2016 ◽  
Vol 6 (49) ◽  
pp. 43272-43277 ◽  
Author(s):  
Haotian Wang ◽  
Guangmei Zhai ◽  
Lin Shang ◽  
Shufang Ma ◽  
Wei Jia ◽  
...  

Three-dimensional GaN nanostructures with well-aligned nano-cones were fabricated via laser interference lithography + inductively coupled plasma etching techniques.


NANO ◽  
2017 ◽  
Vol 12 (02) ◽  
pp. 1750025 ◽  
Author(s):  
Haegyu Jang ◽  
Heeyeop Chae

Dual radio frequency (RF) powers are widely used with commercial plasma etchers for various nanoscale patterns. However, it is challenging to understand the relationship among the dual RF powers and the etching processes. In this work, the effect of the dual RF bias powers on SiO2 sputter etching was investigated in inductively coupled plasma (ICP). The relationship was studied among 2[Formula: see text]MHz and 27.12[Formula: see text]MHz RF bias powers, a 13.56[Formula: see text]MHz ICP source power, the ion bombardment energy, the ion density and the etching rate. The results show that the ion density of Ar plasma can be controlled in the region of 109–10[Formula: see text] ions/cm3, and DC self-bias can be controlled by controlling the ratio of dual RF bias powers while the ion density is maintained with the operation of source power. This work reveals that the dual RF bias powers expand the process window of the ion density and the ion bombardment energy independently in the ICP plasma source. The sputter etching rate is also modeled using the ion-enhanced etching model, and the model shows good agreement with the etching rate data.


Photonics ◽  
2021 ◽  
Vol 8 (3) ◽  
pp. 68
Author(s):  
Karim Dogheche ◽  
Bandar Alshehri ◽  
Galles Patriache ◽  
Elhadj Dogheche

In order to design and development efficient III-nitride based optoelectronic devices, technological processes require a major effort. We propose here a detailed review focussing on the etching procedure as a key step for enabling high date rate performances. In our reported research activity, dry etching of an InGaN/GaN heterogeneous structure was investigated by using an inductively coupled plasma reactive ion etching (ICP-RIE). We considered different combinations of etch mask (Ni, SiO2, resist), focussing on the optimization of the deep etching process. A GaN mesa process with an etching depth up to 6 µm was performed in Cl2/Ar-based plasmas using ICP reactors for LEDs dimen sions ranging from 5 to 150 µm². Our strategy was directed toward the mesa formation for vertical-type diode applications, where etch depths are relatively large. Etch characteristics were studied as a function of ICP parameters (RF power, chamber pressure, fixed total flow rate). Surface morphology, etch rates and sidewall profiles observed into InGaN/GaN structures were compared under different types of etching masks. For deep etching up to few microns into the GaN template, we state that a Ni or SiO2 mask is more suitable to obtain a good selectivity and vertical etch profiles. The optimized etch rate was about 200nm/min under moderate ICP conditions. We applied these conditions for the fabrication of micro/nano LEDs dedicated to LiFi applications.


Author(s):  
А.И. Охапкин ◽  
С.А. Краев ◽  
Е.А. Архипова ◽  
В.М. Данильцев ◽  
О.И. Хрыкин ◽  
...  

In this work, the dependence of plasma-chemical etching rate and the roughness of the surface of gallium arsenide crater on chloropentafluoroethane (C2F5Cl) concentration in a mixture with chlorine, forward power and etching duration were studied. Characteristics of GaAs etching crater were studied by white light interferometry and scanning electron microscopy. It is shown that C2F5Cl addition in chlorine-containing inductively coupled plasma led to a nonlinear change of gallium arsenide etching rate with time which can be explained by passivation of substrate surface at the initial stage by products of freon decay. Along with this, characteristics of the etching profile of GaAs are significantly improved. Forward power increase contributes to development of roughness, while the etching rate increases nonlinearly.


2020 ◽  
Vol 20 (7) ◽  
pp. 4170-4175
Author(s):  
Zin-Sig Kim ◽  
Hyung Seok Lee ◽  
Sung-Bum Bae ◽  
Eun Soo Nam ◽  
Jong-Won Lim

Fabrication of normally-off field effect transistors (FETs) possessed uniform turn-on threshold voltage (Vth) is of special interests. In this work, they were fabricated using dry etching recess techniques under the gate region, with dry etching conditions of extremely low rate. We report how the recess depth under the gate area induced the Vth shift of normally-off FETs on AlGaN/GaN heterostructure, which were fabricated with a 1.5 nm/min etching rate. Chlorine-based inductively coupled plasma (ICP) was applied to perform the etching process for the AlGaN/GaN heterostructure. Devices were fabricated with different recess depths under the gate area, and examined to determine their performances, particularly the dependence of recess time and recess depth on Vth shift. The applied dry etching conditions resulted in a low-damaged and not-rough morphology on the etched surfaces of AlGaN/GaN. Fine controlled and well defined recess depth of the AlGaN/GaN heterostructure under the gate region was achieved with no etch-stop layers. Conventional fabrication processes were applied with the dry etching conditions of extremely low rate to fabricate normally-off MOSFETs of Al2O3/AlGaN/GaN. The achieved Vth of +5.64 V was high positive and the leakage current of off-state was measured as ~10−6 A/mm.


Sign in / Sign up

Export Citation Format

Share Document