Temporary Bonding Cost Of Ownership: The link between low total thickness variation and chip yield

2014 ◽  
Vol 2014 (DPC) ◽  
pp. 001893-001912
Author(s):  
Thomas Uhrmann ◽  
Jürgen Burggraf ◽  
Harald Wiesbauer ◽  
Julian Bravin ◽  
Thorsten Matthias ◽  
...  

The ability to process thin wafers with thicknesses of 20-50um on front- and backside is a key technology for 3D stacked ICs (3Ds-IC). The most obvious reason for thin wafers is the reduced form factor, which is especially important for handheld consumer devices. However, probably even more important is that thinner wafers enable significant cost reduction for TSVs. Consensus has developed on the use of Temporary Bonding / Debonding Technology as the solution of choice for reliably handling thin wafers through backside processing steps. Temporary bonding and debonding comprises several processes for which yield is essential, as costly fully functional device wafers are being processed. The presented temporary bonding process consists of a bi-layer system, a release layer, Dow Corning WL-3001 Bonding Release and an adhesive layer, Dow Corning WL-4030 or WL-4050 Bonding Adhesive, processed on EVG's 850XT universal temporary bonding and debonding platform. Furthermore, this bi-layer spin coated material allows a room temperature bonding-debonding process increase process throughput which translates to low cost of ownership for high volume manufacturing. As such, this bi-layer approach features high chemical stability exposed to phosphoric acid, nitric acid, organic solvents and other chemicals familiar to TSV fabrication. Besides chemical stability this adhesive system provides also a high thermal stability when exposed to temperatures up to 300 °C. The temporary bonding process yield has a major impact on the overall Cost of Ownership (CoO). On the other hand, throughput of the individual process steps like spin coating, bonding, cure, debonding and cleaning processes is the second determining factor for improved CoO. In this presentation, we will present a study of the total thickness variation (TTV) and the evolution of TTV at different stages of the process. High resolution in-line metrology is an enabling tool to trace the bond integrity and yield throughout backside processing. As TTV is a major determining factor of the overall process yield, understanding its impact over the bonded wafer pair carries major importance. Especially, non-continuity of the edge region, showing an inherent edge bead after coating, and edge die yield will be focus of our contribution. Finally, our experimental results will be transferred into a cost of ownership model, discussing the pros and cons for high volume production.

Author(s):  
Elisabeth Brandl ◽  
Thomas Uhrmann ◽  
Mariana Pires ◽  
Stefan Jung ◽  
Jürgen Burggraf ◽  
...  

Rising demand in memory is just one example how 3D integration is still gaining momentum. Not only the form factor but also performance is improved for several 3D integration applications by reducing the wafer thickness. Two competing process flows using thin wafers are to carry out for 3D integration today. Firstly, two wafers can be bonded face-to-face with subsequent thinning without the need to handle a thin wafer. However, some chip designs require a face-to-back stacking of thin wafers, where temporary bonding becomes an inevitable process step. In this case, the challenge of the temporary bonding process is different to traditional stacking on chip level, where usually the wafers are diced after debonding and then stacked on chip level, which means die thicknesses are typically in the range of 50 μm. The goal of wafer level transfer is a massive reduction of the wafer thickness. Therefore temporary and permanent bonding has to be combined to enable stacking on wafer level with very thin wafers. The first step is temporary bonding of the device wafer with the temporary carrier through an adhesive interlayer, followed by thinning and other backside processes. Afterwards the thinned wafer is permanently bonded to the target wafer before debonding from the carrier wafer. This can be repeated several times to be suitable for example a high bandwidth memory, where several layers of DRAM are stacked on top of each other. Another application is the memory integration on processors, or die segmentation processes. The temporary bonding process flow has to be very well controlled in terms of total thickness variations (TTV) of the intermediate adhesive between device and carrier wafer. The requirements for the temporary bonding adhesive include offering sufficient adhesion between device and carrier wafer for the subsequent processes. The choice of the material class for this study is the Brewer Science dual layer material comprising of a curable layer which offers high mechanical stability to enable low TTV during the thinning process and a release layer for mechanical debond process. The release layer must lead to a successful debond but prevent spontaneous debonding during grinding and other processes. Total thickness variation values of the adhesive will be analyzed in dependence of the adhesive layer thickness as this is a key criterion for a successful implementation at the manufactures. Besides the TTV the mechanical stability during grinding will be evaluated by CSAM to make sure no delamination has happened. For feasibility of the total process flow it is important that the mechanical debonding requires less force compared to the separation of the permanent bonded wafers. Other process parameters such as edge trimming of the device wafer as well as edge removal of the mechanical debond release layer are investigated.


2013 ◽  
Vol 2013 (1) ◽  
pp. 000861-000865 ◽  
Author(s):  
Blake Dronen ◽  
Aric Shorey ◽  
B.K. Wang ◽  
Leon Tsai

Wafer thinning represents a critical step in 2.5D and 3D-IC integration. Achieving low total thickness variation (TTV) of a bonded stack is essential since it directly impacts the TTV of the thinned device wafer. It is essential to understand and utilize appropriate processes and materials that provide precision bonded stacks prior to thinning operations in order to achieve high process yields. The 3M™ Wafer Support System and Corning's precision glass carrier wafers were used to produce bonded stacks. Leveraging metrology tools like the Flatmaster MSP-300 and low coherence interferometric probes allow for characterization of the TTV of each layer of a bonded stack and better understanding of the stack-up as well as how to minimize stack TTV. The ability to deliver stack TTV of < 2 um in a repeatable manner has been demonstrated.


2013 ◽  
Vol 393 ◽  
pp. 259-265 ◽  
Author(s):  
Abdul Rahim Mahamad Sahab ◽  
Nor Hayati Saad ◽  
Amirul Abdul Rashid ◽  
Yusoff Noriah ◽  
Nassya Mohd Said ◽  
...  

Silicon wafer is widely used in semiconductor industries for development of sensors and integrated circuit in computer, cell phones and wide variety of other devices. Demand on the device performance requires flatter wafer surface, and less dimensional wafer variation. Prime silicon wafer is hard and brittle material. Due to its properties, double sided lapping machine with ceramic grinding agent were introduced for machining high quality standard silicon wafers. The main focus is the silicon wafer with high accuracy of flatness; to reduce total thickness variation, waviness and roughness. In this paper the lapping experiment and analysis showed that the double sided lapping machine is able to produce total thickness variation less than 10 um at controlled process parameters within short processing time. Machining using low mode method reduced the total thickness variation (TTV) value. The lapping load and speed directly reflected the performance and condition of final silicon wafer quality.


2010 ◽  
Vol 7 (4) ◽  
pp. 189-196
Author(s):  
Jeffrey Thompson ◽  
Gary Tepolt ◽  
Livia Racz ◽  
C.B. Rogers ◽  
V.P. Manno ◽  
...  

The drive toward increased packaging density relies on die stacking. In order to maximize functional density, die are generally thinned on the wafer level. However, high-cost low-volume applications may not have full wafers available. Therefore, a method to thin individual die must be developed. In this article, a detailed and reliable process for thinning die to sub35 μm is outlined. The process consists of four steps: pseudo-wafer lamination, mechanical lapping, chemical mechanical planarization (CMP), and die release. A pseudo-wafer is created by adhering die to a glass substrate. Mechanical lapping is used to remove the bulk silicon and reduce die thickness to approximately 50 μm. CMP is used to attain thicknesses of sub35 μm and remove the subsurface damage layer from the die. This process can reliably produce die thinned to sub35 μm with ± 1.5-μm total thickness variation (TTV). The die are then released from the glass substrate and are handled using a customized vacuum carrier.


2007 ◽  
Author(s):  
Jae-Bong Song ◽  
Hoi-Youn Lee ◽  
Yun-Woo Lee ◽  
In-Won Lee

2010 ◽  
Vol 26-28 ◽  
pp. 694-697
Author(s):  
Ke Yan Tang ◽  
Ren Ke Kang

During the silicon wafer grinding, the different process parameters could cause the silicon wafer shape greatly different. Based on the rotational coordinate principle of kinematics, a theoretical model of the ground wafer shape in rotational grinding process is developed, in which many critical factors are considered in this paper. These factors mainly include the parameters of the dressing vacuum chuck and wafer grinding etc. And the mathematical equation of the ground wafer shape is derived. Moreover, as one of the important indexes, TTV(total thickness variation) is researched and analyzed. The equation of TTV is given. The built model can offer a theoretical foundation for further experimental researching. The research results are significant to effectively control the ground wafer shape in a certain.This paper applied the Autonomous Intelligent System(AIS) to deal with the intelligent activities intelligently and automatically, and thereof provided a new method for the Supply Chain Management(SCM) on MC manufacture. First, a new supply chain model based on E-HUB was presented according to the requirements of MC manufacture, and then the structure and operation of AIS were designed to support that SCM. Finally, the development technology of AIS was discussed.


Author(s):  
Thomas Uhrmann ◽  
Jurgen Burggraf ◽  
Harald Wiesbauer ◽  
Julian Bravin ◽  
Thorsten Matthias ◽  
...  

Sign in / Sign up

Export Citation Format

Share Document