Automated Metrology Improves Productivity and Yields for Wafer Level Packaging in High Volume Manufacturing

2014 ◽  
Vol 2014 (1) ◽  
pp. 000155-000160
Author(s):  
Jin You Zao ◽  
Bong Yin Yen ◽  
Lim Beng Kuan ◽  
John Thornell ◽  
Darcy Hart ◽  
...  

Wafer Bumping In-line Process control of Wafer-Level Chip Scale Package (WLCSP) requires accurate measurement of bump features during processing. These bump features include critical dimension of Redistribution Layer (RDL), Under Bump Metal (UBM) and transparent polyimide thickness. For a 4-Mask Layer Cu plated WLCSP, accurate feature thickness measurement is required for both the Redistribution Layer (RDL) and Under Bump Metal (UBM) to ensure consistent delivery of good electrical performance and package reliability. This is especially important as WLCSP is moving towards finer feature size and pitch to meet increasing demand for smaller form factor. This paper reports the development of an automated Critical Dimension (CD) measurement solution capable of measuring features at pre-defined locations on different topology both under sampling and full inspection mode on wafer. The solution is fully scalable to meet the requirement of high product-mix HVM environment, by highly adaptive to different features on different products for which measurement needs to be automated for effective process control.

2016 ◽  
Vol 2016 (1) ◽  
pp. 000321-000325
Author(s):  
Bob Chylak ◽  
Horst Clauberg ◽  
Tom Strothmann

Abstract Device packaging is undergoing a proliferation of assembly options within the ever-expanding category of Advanced Packaging. Fan Out-Wafer Level Packages are achieving wide adoption based on improved performance and reduced package size and new System in Package products are coming to market in FOWLP, 2.5D and 3D package formats with the full capability to leverage heterogeneous integration in small package profiles. While the wide-spread adoption of thermocompression bonding and 2.5D packages predicted several years ago has not materialized to the extent predicted, advanced memory modules assembled by TCB are in high volume manufacturing, as are some high-end GPUs with integrated memory on Si interposer. High accuracy flip chip has been pushed to fine pitches that were difficult to imagine only three years ago and innovation in substrates and bonder technology is pushing the throughput and pitch capability even further. The packaging landscape, once dominated by a few large assembly providers, now includes turn-key packaging initiatives from the foundries with an expanding set of fan-out packing options. The fan-out processes include face-up and face-down methods, die first and die last methods and 2.5D or 3D package options. Selection of the most appropriate packaging technology from the combined aspects of electrical performance, form-factor, yield and cost presents a complex problem with considerable uncertainty and high risk for capital investment. To address this problem, the industry demands flexible manufacturing solutions that can be modified and upgraded to accommodate a changing assembly environment. This presentation will present the assembly process flows for various packaging options and discuss the key aspects of the process that influence throughput, accuracy and other key quality metrics, such as package warpage. These process flows in turn impose design constraints on submodules of the bonder. It will be shown that thoughtfully designed machine architecture allows for interchangeable and upgradeable submodules that can support nearly the entire range of assembly options. As an example, a nimble, low weight, medium force, constant heat bondhead for high throughput FOWLP can be interchanged with a high force, pulse heater bondhead to support low stress/low warpage thermocompression bonding. The various configuration options for a flexible advanced packaging bonder will be reviewed along with the impact of configuration changes on throughput and accuracy.


2019 ◽  
Vol 2019 (1) ◽  
pp. 000203-000210
Author(s):  
Burhan Ali ◽  
Mike Marshall

Abstract As the final step of IC fabrication, packaging is the process to encapsulate the chip and provide the interconnections for the I/O of the final form factor. The demand for increasingly higher I/O density, shrinking device size and lower cost that drive wafer processing also apply to the packaging process. Various technologies have been developed in order to achieve these goals with most of them being wafer-level packaging (WLP). Unlike traditional packaging process, most I/O interconnections are done at the wafer-level with redistribution layers (RDLs). RDLs are the layer where copper lines and vias form the electrical connections. Depending on the applications' market such as mobile, memory or the Internet of Things (IoT), fan-out wafer level packaging (FOWLP) provides the most promising method to support the I/O density requirements and fine RDL line/space. Moreover, fan-out panel level packaging (FOPLP) was also developed in order to capitalize on economies of scale and optimize substrate utilization. In this technology, a rectangular substrate is used in the process instead of a round-shape substrate like a wafer. Processes and equipment have long been developed for the wafer substrate market, but the previous developments cannot be directly applied to panel substrates. For instance, in the wafer line, spin on processes are very prevalent but these are not at all practical for a panel line. Some capital equipment manufacturers have been reluctant to embrace panel-level manufacturing due to the uncertainty as to whether it will prevail. Struggles with yield have been very common; some of which are due to die placement and others due to the lack of process control capabilities. With the explosion and adoption of FOWLP to enhance package shrinkage and performance the panel market becomes more and more viable. The companies that have embraced panel level manufacturing from the beginning have a distinct advantage due to their intimate knowledge and experience with the substrates as well as the relationship developed with capital equipment suppliers to develop the necessary technology in order to process the panels. However, there is still a great need to ensure the product mix deployed in panel form can have an acceptable yield; automated optical inspection and die placement metrology bridge that gap. Automated optical inspection allows for defect detection with traditional bright field (BF) or dark field (DF) illumination and also a new novel illumination technique that enables the detection of organic particles and/or residues that are often used in panel-level packaging processes. A system capable of macro defect detection with sub-micron capabilities allows for multi-purpose panel inspections. The system is also equipped with metrology capabilities for critical dimension and die placement measurements which meet the process node dimensional requirements. These features allow for process control of pick and place, overlay as well as feed-forward capabilities for die placement corrections. In a FOWLP/FOPLP process, chip first and chip last can be concluded among all available methods in the market. Die placement either start from the initial phase of the process or in the final phase of the process. In the chip first scenario, the chips are placed on a carrier by a pick-and-place system and then followed by an encapsulating molding process to reconstitute a substrate (reconstituted wafer or reconstituted panel). At this point a semi-additive process (SAP) is typically followed which includes a photo resist layer being coated, exposed and developed following copper (Cu) plating in order to form the redistribution layer. In this workflow, the die position are dominated by the accuracy of the pick-and-place tool and coefficient of thermal expansion (CTE) mismatch of the molding material and carrier. The trade-off between throughputs, placement accuracy and a feedback mechanism is the main impact from the pick-and-place tool in this process step. This affects both the chip first and chip last scenarios. The thermal expansion of the molding process not only adds additional die shift but also causes warpage of the reconstituted substrate that becomes an issue for automated handling systems and local process variation. Therefore, to know the actual die position and orientation after the die placement and molding process is crucial for matching with the following redistribution layers development. In one scenario it is possible to utilize the lithography system to perform die position metrology, however, this is time consuming and impacts the cost of ownership and overall throughput for the lithography process. A solution to this problem is provided by implementation of an optical metrology system. Since this information needs to be passed to the lithography tool in a usable manner for variable exposure positioning, the alignment of the stage coordinate system between the die metrology tool and lithography tool is a key point to ensure the correctness of the feed forward loop. For RDL development overlay between die and RDL via directly impact yield and are just as critical to the process as defect inspection and critical dimension measurements. Based on the corrections for each die, a yield prediction can be made and provides different strategies for the lithography tool's exposure field in order to balance throughput and exposure yield rate. In this paper, we demonstrate a solution using an automatic optical inspection (AOI) system to perform the die metrology for chip placement and RDL development in FOPLP and FOWLP. This includes die shift, die rotation, RDL inspection as well as the overlap between a reconstituted substrate and RDLs. This solution provides comprehensive coverage for packaging process control and significantly impacts yield optimization and throughput enhancement. With a multifunctional AOI system, it also reduces the cost of ownership for packaging processes.


2018 ◽  
Vol 282 ◽  
pp. 250-255
Author(s):  
Asha Sharma ◽  
Bruce Gondeck ◽  
Sunil Singh ◽  
Teck Jung Tang ◽  
Silas Scott ◽  
...  

The purpose of this paper is to study the effects of wet strip clean for metal void reduction in trench first metal hard mask back end of line (BEOL) integration process in 14 nm Technology. A thicker TiN film is becoming important to resolve via-metal short yield and time-dependent dielectric breakdown (TDDB) issues caused by the Litho-Etch-Litho-Etch (LELE) misalignment due to smaller patterning features. This brings the multitude of advanced integration technology need for complete TiN hard mask (HM) removal, post etch residue removal, ultra low-k dielectric (ULK) and Cu stability, interconnect resistance, and continuing high volume manufacturing (HVM) cost challenges together with environmental concerns and the waste handling/treatment cost. At GlobalFoundries, we achieved a wet strip clean process with a 45 % lower cost of ownership (CoO) while maintaining the TiN HM removal rate, baseline critical dimension (CD), normalized defect density (DOI), the ULK and Cu stability, via resistance, and yield.


2014 ◽  
Vol 2014 (DPC) ◽  
pp. 001536-001552
Author(s):  
Russ Dudley ◽  
Matt Wilson ◽  
Rajiv Roy

Interconnects for Advanced Packaging are getting smaller and come in a variety of sizes, shapes, and materials. The height, diameter, shape, and the absence/presence of these interconnects are critical and must be monitored across the device and wafer to ensure reliable connections during the bonding process. Solder bump technologies have been utilized in the past, but cannot support the high density interconnects that are required. New interconnect technologies being utilized for wafer level packaging (WLP) and through silicon via (TSV) packages include copper pillar posts and TSV posts. These new interconnect technologies provide higher density, improved reliability, and better electrical performance. This paper will highlight the critical metrology and inspection requirements for these new interconnect technologies and demonstrate the capability of a single platform to support these new interconnects for high volume manufacturing (HVM). The single platform includes 3D metrology performed using a proprietary interferometric sensor technology that can measure the height of the post and the thickness of the surrounding polymer at the same time to optimize the measurement performance and system throughput. The platform also provides the ability to inspect for surface defects, irregular posts, missing posts, and a variety of other inspections typically performed on bumped wafers or substrates. Both the metrology and inspection results from the single platform are output to a proprietary analysis package using industry standard Rudolph Result Files (RRF). The analysis will demonstrate the value these results provide for process control and the defect analysis, ultimately leading to improved yields and equipment utilization.


Author(s):  
Jason H. Lagar ◽  
Rudolf A. Sia

Abstract Most Wafer Level Chip Scale Package (WLCSP) units returned by customers for failure analysis are mounted on PCB modules with an epoxy underfill coating. The biggest challenge in failure analysis is the sample preparation to remove the WLCSP device from the PCB without inducing any mechanical defect. This includes the removal of the underfill material to enable further electrical verification and fault isolation analysis. This paper discusses the evaluations conducted in establishing the WLCSP demounting process and removal of the epoxy underfill coating. Combinations of different sample preparation techniques and physical failure analysis steps were evaluated. The established process enabled the electrical verification, fault isolation and further destructive analysis of WLCSP customer returns mounted on PCB and with an epoxy underfill coating material. This paper will also showcase some actual full failure analysis of WLCSP customer returns where the established process played a vital role in finding the failure mechanism.


Author(s):  
L. Shiv ◽  
M. Heschel ◽  
H. Korth ◽  
S. Weichel ◽  
R. Hauffe ◽  
...  

2017 ◽  
Author(s):  
Honggoo Lee ◽  
Sangjun Han ◽  
Jaeson Woo ◽  
DongYoung Lee ◽  
ChangRock Song ◽  
...  
Keyword(s):  

Sign in / Sign up

Export Citation Format

Share Document