mis capacitor
Recently Published Documents


TOTAL DOCUMENTS

75
(FIVE YEARS 4)

H-INDEX

10
(FIVE YEARS 1)

2020 ◽  
Vol 11 ◽  
pp. 1764-1775
Author(s):  
Rosine Coq Germanicus ◽  
Peter De Wolf ◽  
Florent Lallemand ◽  
Catherine Bunel ◽  
Serge Bardy ◽  
...  

This work addresses the need for a comprehensive methodology for nanoscale electrical testing dedicated to the analysis of both “front end of line” (FEOL) (doped semiconducting layers) and “back end of line” (BEOL) layers (metallization, trench dielectric, and isolation) of highly integrated microelectronic devices. Based on atomic force microscopy, an electromagnetically shielded and electrically conductive tip is used in scanning microwave impedance microscopy (sMIM). sMIM allows for the characterization of the local electrical properties through the analysis of the microwave impedance of the metal–insulator–semiconductor nanocapacitor (nano-MIS capacitor) that is formed by tip and sample. A highly integrated monolithic silicon PIN diode with a 3D architecture is analysed. sMIM measurements of the different layers of the PIN diode are presented and discussed in terms of detection mechanism, sensitivity, and precision. In the second part, supported by analytic calculations of the equivalent nano-MIS capacitor, a new multidimensional approach, including a complete parametric investigation, is performed with a dynamic spectroscopy method. The results emphasize the strong impact, in terms of distinction and location, of the applied bias on the local sMIM measurements for both FEOL and BEOL layers.


2019 ◽  
Vol 35 (4) ◽  
pp. 909-921 ◽  
Author(s):  
Kow-Ming Chang ◽  
Ting-Chia Chang ◽  
Shou-Hsien Chen ◽  
I-Chung Deng

2019 ◽  
Vol 35 (2) ◽  
pp. 309-316
Author(s):  
Kow-Ming Chang ◽  
Ting-Chia Chang ◽  
Hshu-Wei Chen

Materials ◽  
2018 ◽  
Vol 11 (9) ◽  
pp. 1601
Author(s):  
Xing Wang ◽  
Hongxia Liu ◽  
Lu Zhao ◽  
Yongte Wang

The impact of stress relieved preoxide (SRPO) interface engineering on the physical and electrical properties of LaxAlyO films was investigated. It was proved that the SRPO pretreatment has little influence on the surface morphology of LaxAlyO films and the chemical bond composition of LaxAlyO/Si interface. However, the SRPO pretreated MIS capacitor displayed obvious improvement in decreasing the amount of trapped oxide charges and interfacial traps. As a result, a reduction of more than one order of magnitude in the gate leakage current density was obtained. The breakdown field strength and TDDB reliability of the LaxAlyO film treated with SRPO were also enhanced.


2018 ◽  
Vol 54 (8) ◽  
pp. 515-517
Author(s):  
S.J. Baek ◽  
J.C. Kim ◽  
J.M. Yook

Author(s):  
S. Banzhaf ◽  
J. Kenntner ◽  
M. Grieb ◽  
S. Schwaiger ◽  
T. Erlbacher ◽  
...  

Sign in / Sign up

Export Citation Format

Share Document