General review of issues and perspectives for advanced copper interconnections using air gap as ultra-low K material

Author(s):  
L.G. Gosset ◽  
V. Arnal ◽  
C. Prindle ◽  
R. Hoofman ◽  
G. Verheijden ◽  
...  
Keyword(s):  
Air Gap ◽  
Electronics ◽  
2019 ◽  
Vol 8 (11) ◽  
pp. 1274
Author(s):  
Ryu ◽  
Cho ◽  
Han

Air-gap (AG) technology on back-end-of-line (BEOL) provides a means to improve performance without area or power degradation. However, the “blind” use of AG based on traditional design methodologies does not provide sufficient performance gain. We developed an AG-aware design methodology to maximize performance gain with minimum cost. The experimental results of the proposed methodology, which was tested using a 10 nm Advanced RISC Machine (ARM) Cortex-A9 quad-core central processing unit (CPU), indicated a performance gain of 6.1–8.4% compared with traditional AG design. The performance gain achieved represents about half of the 10–15% performance improvement under the same power by a process node shrink. A Si process of consecutive double AG layers was developed by overcoming various process challenges, such as AG depth control, Cu/ultra-low-k damage, the hermetic AG liner, and step-height control above the AG. Furthermore, the capacitance was reduced by 17.0%, which satisfied the target goal in the simulation stage for the assumed structure. The optimized integration process was validated according to the function yield of the CPU, which was comparable to that of a non-AG process. The time-dependent dielectric breakdown and electromigration lifetime of the AG wire satisfied the 10-year criteria, and the assembly yield was verified.


2000 ◽  
Vol 612 ◽  
Author(s):  
Dhananjay M. Bhusari ◽  
Michael D. Wedlake ◽  
Paul A. Kohl ◽  
Carlye Case ◽  
Fred P. Klemens ◽  
...  

AbstractWe present here a method for fabrication of air-gaps between Cu-interconnects to achieve low intralevel dielectric constant, using a sacrificial polymer as a ‘place holder’. IC compatible metallization and CMP processes were used in a single damascene process. The air-gap occupies the entire intralevel volume between the copper lines with fully densified SiO2 as the planer interlevel dielectric. The width of the air-gaps was 286 nm and the width of the copper lines was 650 nm. The effective intralevel dielectric constant was calculated to be 2.19. The thickness of the interlevel SiO2 and copper lines were 1100 nm and 700 nm, respectively. Further reduction in the value of intralevel dielectric constant is possible by optimization of the geometry of the metal/air-gap structure, and by use of a low k interlevel dielectric material.In this method of forming air-gaps, the layer of sacrificial polymer was spin-coated onto the substrate and formed into the desired pattern using an oxide or metal mask and reactive-ion-etching. The intralevel Cu trench is then inlaid using a damascene process. After the CMP of copper, interlevel SiO2 is deposited by plasma-CVD. Finally, the polymer place-holder is thermally decomposed with the decomposition products permeating through the interlevel dielectric material. The major advantages of this method over other reported methods of formation of air-gaps are excellent control over the geometry of the air-gaps; no protrusion of air-gaps into the interlevel dielectric; no deposition of SiO2 over the side-walls, and no degradation of the interlevel dielectric during the formation of air-gap.


2006 ◽  
Vol 914 ◽  
Author(s):  
Romano Hoofman ◽  
Roel Daamen ◽  
Viet Nguyenhoang ◽  
Julien Michelon ◽  
Laurent G. Gosset ◽  
...  

AbstractIn this paper, two different air gap integration approaches are discussed in detail. Firstly, air gaps can be created using sacrificial materials, which are selectively removed through a capping layer either by wet- or dry-etching or by thermal decomposition. The second class benefits from the non-conformal deposition of different CVD dielectrics, which creates air gaps for narrow spaced lines. The benefit of air gaps in terms of capacitance reduction in multilevel interconnects is well known, therefore the authors will mainly concentrate on the challenges associated with the introduction of air gaps in interconnect systems. It will be shown that interconnect containing air gaps does not suffer more from reliability challenges than interconnects with porous low-k dielectrics. Therefore, air gaps can be considered as a viable option for the 32nm node and beyond.


Author(s):  
Avril V. Somlyo ◽  
H. Shuman ◽  
A.P. Somlyo

This is a preliminary report of electron probe analysis of rabbit portal-anterior mesenteric vein (PAMV) smooth muscle cryosectioned without fixation or cryoprotection. The instrumentation and method of electron probe quantitation used (1) and our initial results with cardiac (2) and skeletal (3) muscle have been presented elsewhere.In preparations depolarized with high K (K2SO4) solution, significant calcium peaks were detected over the sarcoplasmic reticulum (Fig 1 and 2) and the continuous perinuclear space. In some of the fibers there were also significant (up to 200 mM/kg dry wt) calcium peaks over the mitochondria. However, in smooth muscle that was not depolarized, high mitochondrial Ca was found in fibers that also contained elevated Na and low K (Fig 3). Therefore, the possibility that these Ca-loaded mitochondria are indicative of cell damage remains to be ruled out.


Sign in / Sign up

Export Citation Format

Share Document