Mechanically Stable Ultra-Low-K Dielectric and Air-Gap Technology

Author(s):  
Clarissa Prawoto ◽  
Ying Xiao ◽  
Mansun Chan
Keyword(s):  
Air Gap ◽  
Electronics ◽  
2019 ◽  
Vol 8 (11) ◽  
pp. 1274
Author(s):  
Ryu ◽  
Cho ◽  
Han

Air-gap (AG) technology on back-end-of-line (BEOL) provides a means to improve performance without area or power degradation. However, the “blind” use of AG based on traditional design methodologies does not provide sufficient performance gain. We developed an AG-aware design methodology to maximize performance gain with minimum cost. The experimental results of the proposed methodology, which was tested using a 10 nm Advanced RISC Machine (ARM) Cortex-A9 quad-core central processing unit (CPU), indicated a performance gain of 6.1–8.4% compared with traditional AG design. The performance gain achieved represents about half of the 10–15% performance improvement under the same power by a process node shrink. A Si process of consecutive double AG layers was developed by overcoming various process challenges, such as AG depth control, Cu/ultra-low-k damage, the hermetic AG liner, and step-height control above the AG. Furthermore, the capacitance was reduced by 17.0%, which satisfied the target goal in the simulation stage for the assumed structure. The optimized integration process was validated according to the function yield of the CPU, which was comparable to that of a non-AG process. The time-dependent dielectric breakdown and electromigration lifetime of the AG wire satisfied the 10-year criteria, and the assembly yield was verified.


Author(s):  
Clarissa Prawoto ◽  
Zichao Ma ◽  
Ying Xiao ◽  
Salahuddin Raju ◽  
Mansun Chan

2000 ◽  
Vol 612 ◽  
Author(s):  
Dhananjay M. Bhusari ◽  
Michael D. Wedlake ◽  
Paul A. Kohl ◽  
Carlye Case ◽  
Fred P. Klemens ◽  
...  

AbstractWe present here a method for fabrication of air-gaps between Cu-interconnects to achieve low intralevel dielectric constant, using a sacrificial polymer as a ‘place holder’. IC compatible metallization and CMP processes were used in a single damascene process. The air-gap occupies the entire intralevel volume between the copper lines with fully densified SiO2 as the planer interlevel dielectric. The width of the air-gaps was 286 nm and the width of the copper lines was 650 nm. The effective intralevel dielectric constant was calculated to be 2.19. The thickness of the interlevel SiO2 and copper lines were 1100 nm and 700 nm, respectively. Further reduction in the value of intralevel dielectric constant is possible by optimization of the geometry of the metal/air-gap structure, and by use of a low k interlevel dielectric material.In this method of forming air-gaps, the layer of sacrificial polymer was spin-coated onto the substrate and formed into the desired pattern using an oxide or metal mask and reactive-ion-etching. The intralevel Cu trench is then inlaid using a damascene process. After the CMP of copper, interlevel SiO2 is deposited by plasma-CVD. Finally, the polymer place-holder is thermally decomposed with the decomposition products permeating through the interlevel dielectric material. The major advantages of this method over other reported methods of formation of air-gaps are excellent control over the geometry of the air-gaps; no protrusion of air-gaps into the interlevel dielectric; no deposition of SiO2 over the side-walls, and no degradation of the interlevel dielectric during the formation of air-gap.


Sign in / Sign up

Export Citation Format

Share Document