Modeling Ultra Shallow Junctions Formed by Phosphorus-Carbon and Boron-Carbon Co-implantation

2007 ◽  
Vol 994 ◽  
Author(s):  
Christoph Zechner ◽  
Dmitri Matveev ◽  
Nikolas Zographos ◽  
Victor Moroz ◽  
Bartek Pawlak

AbstractA new carbon-interstitial clustering model has been developed. The model has been implemented into the process simulator Sentaurus Process. Model parameters have been calibrated using fundamental marker layer experiments. B diffusion retardation in the C doped layer as well as Sb diffusion enhancement in the region close to a layer with high C concentration are successfully simulated. The calibrated model has been applied to simulations of ultra-shallow junction formation by high dose P-C and B-C co-implantation. It is assumed that, in regions which are amorphized by ion implantation and recrystallized by solid phase epitaxy, C is in the substitutional state right after the recrystallization. In contrast, in non-amorphized regions, C is assumed to be in clusters at the beginning of thermal annealing. A good agreement between simulation and experimental results has been achieved. The dependence of dopant diffusion on implanted C dose and spike annealing temperature has been reproduced.

2001 ◽  
Vol 669 ◽  
Author(s):  
R. Brindos ◽  
M. H. Clark ◽  
K. S. Jones ◽  
M. Griglione ◽  
Hans-J. Gossmann ◽  
...  

ABSTRACTThe point defect injection from arsenic precipitation was studied using boron marker layers and antimony doped superlattices. Comparisons of arsenic and germanium amorphizing implants showed similar boron marker layer diffusion enhancements after spike annealing. The results indicate that the end of range damage caused by the implants was the source of the diffusion enhancement. Additional annealing cycles showed that there was retardation in the diffusion enhancement of the boron marker layers for precipitation range arsenic implants. Antimony marker layers showed no diffusion enhancement due to vacancy injection. The results of the experiments indicate that arsenic-interstitial complexes are the cause of the decrease flux of interstitials to the bulk.


2007 ◽  
Vol 131-133 ◽  
pp. 277-282 ◽  
Author(s):  
Alberto Martinez-Limia ◽  
Peter Pichler ◽  
Christian Steen ◽  
Silke Paul ◽  
Wilfried Lerch

We have developed a diffusion and activation model for implanted arsenic in silicon. The model includes the dynamic formation of arsenic-vacancy complexes (As4V) as well as the precipitation of a SiAs phase. The latter is mandatory to correctly describe concentrations above solid solubility while the former are needed to describe the reduced electrical activity as well as the generation of self-interstitials during deactivation. In addition, the activation state after solid-phase epitaxy and the segregation at the interface to SiO2 are taken into account. After implementation using the Alagator language in the latest version of the Sentaurus Process Simulator of Synopsys, the parameters of the model were optimized using reported series of diffusion coefficients for temperatures between 700 °C and 1200 °C, and using several SIMS profiles covering annealing processes from spike to very long times with temperatures between 700 °C and 1050 °C and a wide distribution of implantation energies and doses. The model was validated using data from flash-assisted RTP and spike annealing of ultra-low energy arsenic implants.


2015 ◽  
Vol 2015 ◽  
pp. 1-10 ◽  
Author(s):  
Xiao Zhang ◽  
Hongduo Zhao

The objective of this paper is to investigate the characterization of moisture diffusion inside early-age concrete slabs subjected to curing. Time-dependent relative humidity (RH) distributions of three mixture proportions subjected to three different curing methods (i.e., air curing, water curing, and membrane-forming compounds curing) and sealed condition were measured for 28 days. A one-dimensional nonlinear moisture diffusion partial differential equation (PDE) based on Fick’s second law, which incorporates the effect of curing in the Dirichlet boundary condition using a concept of curing factor, is developed to simulate the diffusion process. Model parameters are calibrated by a genetic algorithm (GA). Experimental results show that the RH reducing rate inside concrete under air curing is greater than the rates under membrane-forming compound curing and water curing. It is shown that the effect of water-to-cement (w/c) ratio on self-desiccation is significant. Lower w/c ratio tends to result in larger RH reduction. RH reduction considering both effect of diffusion and self-desiccation in early-age concrete is not sensitive to w/c ratio, but to curing method. Comparison between model simulation and experimental results indicates that the improved model is able to reflect the effect of curing on moisture diffusion in early-age concrete slabs.


1983 ◽  
Vol 23 ◽  
Author(s):  
T. P. Smith ◽  
P. J. Stiles ◽  
W. M. Augustyniak ◽  
W. L. Brown ◽  
D. C. Jacobson ◽  
...  

ABSTRACTFormation of buried insulating layers and redistribution of impurities during annealing are important processes in new semiconductor device technologies. We have studied pulsed ruby laser and furnace annealing of high dose (D>1017 N/cm2) 50 KeV nitrogen implanted silicon. Using He Back scattering and channeling, X-ray diffraction, transmission electron microscopy, and infrared transmission spectroscopy, we have compared liquid and solid phase regrowth, diffusion, impurity segregation and nitride formation. As has been previously reported, during furnace annealing at or above 1200C nitrogen redistributes and forms a polycrystalline silicon nitride (Si3N4 ) layer. [1–4] In contrast, pulsed laser annealing produces a buried amorphous silicon nitride layer filled with voids or bubbles below a layer of polycrystalline silicon.


1996 ◽  
Vol 438 ◽  
Author(s):  
V. Krishnamoorthy ◽  
D. Venables ◽  
K. Moeller ◽  
K. S. Jones ◽  
B. Freer

Abstract(001) CZ silicon wafers were implanted with arsenic (As+) at energies of 10–50keV to doses of 2×1014 to 5×1015/cm2. All implants were amorphizing in nature. The samples were annealed at 700°C for 16hrs. The resultant defect microstructures were analyzed by XTEM and PTEM and the As profiles were analyzed by SIMS. The As profiles showed significantly enhanced diffusion in all of the annealed specimens. The diffusion enhancement was both energy and dose dependent. The lowest dose implant/annealed samples did not show As clustering which translated to a lack of defects at the projected range. At higher doses, however, projected range defects were clearly observed, presumably due to interstitials generated during As clustering. The extent of enhancement in diffusion and its relation to the defect microstructure is explained by a combination of factors including surface recombination of point defects, As precipitation, As clustering and end of range damage.


2018 ◽  
Vol 2018 ◽  
pp. 1-11 ◽  
Author(s):  
Saša Milojević ◽  
Radivoje Pešić

Compression ratio has very important influence on fuel economy, emission, and other performances of internal combustion engines. Application of variable compression ratio in diesel engines has a number of benefits, such as limiting maximal in cylinder pressure and extended field of the optimal operating regime to the prime requirements: consumption, power, emission, noise, and multifuel capability. The manuscript presents also the patented mechanism for automatic change engine compression ratio with two-piece connecting rod. Beside experimental research, modeling of combustion process of diesel engine with direct injection has been performed. The basic problem, selection of the parameters in double Vibe function used for modeling the diesel engine combustion process, also performed for different compression ratio values. The optimal compression ratio value was defined regarding minimal fuel consumption and exhaust emission. For this purpose the test bench in the Laboratory for Engines of the Faculty of Engineering, University of Kragujevac, is brought into operation.


2010 ◽  
Vol 14 (1) ◽  
pp. 91-98 ◽  
Author(s):  
D. Jougnot ◽  
A. Revil

Abstract. The parameters used to describe the electrical conductivity of a porous material can be used to describe also its thermal conductivity. A new relationship is developed to connect the thermal conductivity of an unsaturated porous material to the thermal conductivity of the different phases of the composite, and two electrical parameters called the first and second Archie's exponents. A good agreement is obtained between the new model and thermal conductivity measurements performed using packs of glass beads and core samples of the Callovo-Oxfordian clay-rocks at different saturations of the water phase. We showed that the three model parameters optimised to fit the new model against experimental data (namely the thermal conductivity of the solid phase and the two Archie's exponents) are consistent with independent estimates. We also observed that the anisotropy of the effective thermal conductivity of the Callovo-Oxfordian clay-rock was mainly due to the anisotropy of the thermal conductivity of the solid phase.


1993 ◽  
Vol 28 (11-12) ◽  
pp. 163-171 ◽  
Author(s):  
Weibo (Weber) Yuan ◽  
David Okrent ◽  
Michael K. Stenstrom

A model calibration algorithm is developed for the high-purity oxygen activated sludge process (HPO-ASP). The algorithm is evaluated under different conditions to determine the effect of the following factors on the performance of the algorithm: data quality, number of observations, and number of parameters to be estimated. The process model used in this investigation is the first HPO-ASP model based upon the IAWQ (formerly IAWPRC) Activated Sludge Model No. 1. The objective function is formulated as a relative least-squares function and the non-linear, constrained minimization problem is solved by the Complex method. The stoichiometric and kinetic coefficients of the IAWQ activated sludge model are the parameters focused on in this investigation. Observations used are generated numerically but are made close to the observations from a full-scale high-purity oxygen treatment plant. The calibration algorithm is capable of correctly estimating model parameters even if the observations are severely noise-corrupted. The accuracy of estimation deteriorates gradually with the increase of observation errors. The accuracy of calibration improves when the number of observations (n) increases, but the improvement becomes insignificant when n>96. It is also found that there exists an optimal number of parameters that can be rigorously estimated from a given set of information/data. A sensitivity analysis is conducted to determine what parameters to estimate and to evaluate the potential benefits resulted from collecting additional measurements.


1995 ◽  
Vol 402 ◽  
Author(s):  
G. Curello ◽  
R. Gwilliam ◽  
M. Harry ◽  
R. J. Wilson ◽  
B. J. Sealy ◽  
...  

AbstractIn this work iridium silicidation of high dose Ge+ implanted Si layers has been studied. Compositional graded SiGe layers with a Ge peak concentration between 6 at.% and 12 at.% have been fabricated using 200 keV Ge+ ion implantation into (100) Si. A 20 nm thick Ir film was then deposited by e-beam evaporation with thermal reaction being performed to both regrow the implantation damage and form the silicide. The crystal quality of the SiGe layer and its interaction with the Ir film have been studied by cross-sectional Transmission Electron Microscopy (XTEM) and Rutherford Backscattering Spectrometry (RBS).Solid Phase Epitaxial Growth (SPEG) in the low dose case has produced a defect free SiGe layer with the formation of the IrSi phase. The annealing ambient was found to be critical for the silicidation. For the high dose case, as expected, strain relaxation related defects were observed to nucleate at a depth close to the projected range of the Ge+ implant and to extend up to the surface. A second rapid thermal annealing at higher temperatures, performed in forming gas, consumed most of the defective layer moving the silicide interface closer to the peak of the Ge distribution. A second low dose Ge+ implant following the metal deposition has been found to have a beneficial effect on the quality of the final interface. An amorphizing 500 keV Si+ implant followed by SPEG has finally been used to move the end of range defects far from the interface.


Author(s):  
R. Lindsay ◽  
K. Henson ◽  
W. Vandervorst ◽  
K. Maex ◽  
B. J. Pawlak ◽  
...  

Sign in / Sign up

Export Citation Format

Share Document