Simulation of Diamond Disc Conditioning in Chemical Mechanical Polishing: Effects of Conditioning Parameters on Pad Surface Shape

Author(s):  
Emmanuel A. Baisie ◽  
Z. C. Li ◽  
X. H. Zhang

Diamond disc conditioning is traditionally employed to restore pad planarity and surface roughness in chemical mechanical polishing (CMP). In this paper, a mathematic model is developed by using a surface element method to simulate and predict the pad surface shape resulted from diamond disc conditioning. The developed model is then validated by published experimental data. Three metrics (total thickness variation (TTV), bow and non-uniformity (NU)) are defined and utilized to evaluate the pad surface shape. Based upon the validated model, effects of conditioning parameters (including sweeping profile, pad rotating speed, conditioner rotating speed, and conditioner diameter) on the pad surface shape are further investigated and discussed.

Author(s):  
Z. C. Li ◽  
Emmanuel A. Baisie ◽  
X. H. Zhang

Chemical mechanical planarization (CMP) is widely used to planarize semiconductor wafers and smooth the wafer surface. In CMP, a diamond disc conditioner is used to condition (or dress) a polishing pad to restore the pad performance. In this paper, a surface element method is proposed to develop a mathematic model to predict the pad surface shape resulted from diamond disc conditioning. The developed model is then validated by published experimental data. Results show that the model is effective to simulate the diamond disc conditioning process and predict the pad surface shape.


2013 ◽  
Vol 2013 (1) ◽  
pp. 000861-000865 ◽  
Author(s):  
Blake Dronen ◽  
Aric Shorey ◽  
B.K. Wang ◽  
Leon Tsai

Wafer thinning represents a critical step in 2.5D and 3D-IC integration. Achieving low total thickness variation (TTV) of a bonded stack is essential since it directly impacts the TTV of the thinned device wafer. It is essential to understand and utilize appropriate processes and materials that provide precision bonded stacks prior to thinning operations in order to achieve high process yields. The 3M™ Wafer Support System and Corning's precision glass carrier wafers were used to produce bonded stacks. Leveraging metrology tools like the Flatmaster MSP-300 and low coherence interferometric probes allow for characterization of the TTV of each layer of a bonded stack and better understanding of the stack-up as well as how to minimize stack TTV. The ability to deliver stack TTV of < 2 um in a repeatable manner has been demonstrated.


2012 ◽  
Vol 565 ◽  
pp. 609-614 ◽  
Author(s):  
X.L. Zhu ◽  
Z.G. Dong ◽  
Ren Ke Kang ◽  
D.M. Guo

This study presents design of an ultra-precision wafer grinder which incorporates state-of-the-art automatic supervision and control system. The wafer grinder is characterized by wafer surface shape control, grinding forces and wafer thickness monitoring systems. The design provides a totally integrated solution to the ultra-precision grinder that is capable of grinding silicon wafers with surface roughness Ra<3 nm and total thickness variation<2µm/300mm.


2013 ◽  
Vol 393 ◽  
pp. 259-265 ◽  
Author(s):  
Abdul Rahim Mahamad Sahab ◽  
Nor Hayati Saad ◽  
Amirul Abdul Rashid ◽  
Yusoff Noriah ◽  
Nassya Mohd Said ◽  
...  

Silicon wafer is widely used in semiconductor industries for development of sensors and integrated circuit in computer, cell phones and wide variety of other devices. Demand on the device performance requires flatter wafer surface, and less dimensional wafer variation. Prime silicon wafer is hard and brittle material. Due to its properties, double sided lapping machine with ceramic grinding agent were introduced for machining high quality standard silicon wafers. The main focus is the silicon wafer with high accuracy of flatness; to reduce total thickness variation, waviness and roughness. In this paper the lapping experiment and analysis showed that the double sided lapping machine is able to produce total thickness variation less than 10 um at controlled process parameters within short processing time. Machining using low mode method reduced the total thickness variation (TTV) value. The lapping load and speed directly reflected the performance and condition of final silicon wafer quality.


2010 ◽  
Vol 7 (4) ◽  
pp. 189-196
Author(s):  
Jeffrey Thompson ◽  
Gary Tepolt ◽  
Livia Racz ◽  
C.B. Rogers ◽  
V.P. Manno ◽  
...  

The drive toward increased packaging density relies on die stacking. In order to maximize functional density, die are generally thinned on the wafer level. However, high-cost low-volume applications may not have full wafers available. Therefore, a method to thin individual die must be developed. In this article, a detailed and reliable process for thinning die to sub35 μm is outlined. The process consists of four steps: pseudo-wafer lamination, mechanical lapping, chemical mechanical planarization (CMP), and die release. A pseudo-wafer is created by adhering die to a glass substrate. Mechanical lapping is used to remove the bulk silicon and reduce die thickness to approximately 50 μm. CMP is used to attain thicknesses of sub35 μm and remove the subsurface damage layer from the die. This process can reliably produce die thinned to sub35 μm with ± 1.5-μm total thickness variation (TTV). The die are then released from the glass substrate and are handled using a customized vacuum carrier.


2014 ◽  
Vol 2014 (DPC) ◽  
pp. 001893-001912
Author(s):  
Thomas Uhrmann ◽  
Jürgen Burggraf ◽  
Harald Wiesbauer ◽  
Julian Bravin ◽  
Thorsten Matthias ◽  
...  

The ability to process thin wafers with thicknesses of 20-50um on front- and backside is a key technology for 3D stacked ICs (3Ds-IC). The most obvious reason for thin wafers is the reduced form factor, which is especially important for handheld consumer devices. However, probably even more important is that thinner wafers enable significant cost reduction for TSVs. Consensus has developed on the use of Temporary Bonding / Debonding Technology as the solution of choice for reliably handling thin wafers through backside processing steps. Temporary bonding and debonding comprises several processes for which yield is essential, as costly fully functional device wafers are being processed. The presented temporary bonding process consists of a bi-layer system, a release layer, Dow Corning WL-3001 Bonding Release and an adhesive layer, Dow Corning WL-4030 or WL-4050 Bonding Adhesive, processed on EVG's 850XT universal temporary bonding and debonding platform. Furthermore, this bi-layer spin coated material allows a room temperature bonding-debonding process increase process throughput which translates to low cost of ownership for high volume manufacturing. As such, this bi-layer approach features high chemical stability exposed to phosphoric acid, nitric acid, organic solvents and other chemicals familiar to TSV fabrication. Besides chemical stability this adhesive system provides also a high thermal stability when exposed to temperatures up to 300 °C. The temporary bonding process yield has a major impact on the overall Cost of Ownership (CoO). On the other hand, throughput of the individual process steps like spin coating, bonding, cure, debonding and cleaning processes is the second determining factor for improved CoO. In this presentation, we will present a study of the total thickness variation (TTV) and the evolution of TTV at different stages of the process. High resolution in-line metrology is an enabling tool to trace the bond integrity and yield throughout backside processing. As TTV is a major determining factor of the overall process yield, understanding its impact over the bonded wafer pair carries major importance. Especially, non-continuity of the edge region, showing an inherent edge bead after coating, and edge die yield will be focus of our contribution. Finally, our experimental results will be transferred into a cost of ownership model, discussing the pros and cons for high volume production.


2001 ◽  
Author(s):  
Gou-Jen Wang ◽  
Meng-Hsian Chou

Abstract Besides the major factors such as the down force, back pressure and the rotating speed of wafer carrier, effect of polishing time is also an important issue in CMP processes. In this study, a neural-Taguchi method based cost-effectively quasi time-optimal technique for Chemical-Mechanical Polishing. (CMP) processes is developed. Key concept of this new technique is that an optimal processes parameter set is obtained through a neural networks simulated CMP processes model. Under such an optimal parameters set, the desired material removal rate (MRR) and within-wafer-nonuniformity (WIWNU) can be reached with the optimal polishing time. It has been proved by experiments that the proposed method can offer a better polishing performance while reducing the polishing time by 1/3.


Sign in / Sign up

Export Citation Format

Share Document