The pH Effect On Chemical Mechanical Planarization Of Copper

2003 ◽  
Vol 767 ◽  
Author(s):  
Tianbao Du ◽  
Vimal Desai

AbstractThis study explores the effect of pH on the chemical mechanical polishing (CMP) characteristics of copper in H2O2 and KIO3 based slurries under various dynamic and static conditions. High purity copper disc was used to study the dissolution and oxidation kinetics at various pH (2 to 10) with 5% H2O2 or 0.1M KIO3. Electrochemical techniques were used to investigate the dissolution/passivation behavior of Cu. The affected surface layers of the statically etched Cu-disc were investigated using X-ray photoelectron spectroscopy (XPS), and scanning electron microscopy (SEM). In 5% H2O2, the Cu removal rate decreases with an increase in pH and reaches minimum at pH 6, and then increases under alkaline conditions. XPS results indicate that the surface oxide formed at various pH values was responsible for this CMP trend. However, with 0.1M KIO3, the CMP removal rates were found to be lower at pH 2. The maximum was observed at pH 4, then the removal rate decreased with the increase of pH. The lower value of removal rate at pH2 was due to the fast interaction between Cu and KIO3 and the precipitation of CuI on the pad, which makes the pad glassy, resulting in lowered removal rates. This was confirmed by XPS measurements. The decreased CMP removal rates when the pH is higher than 4 might be due to the weaker oxidation power of KIO3 with the increase of pH.

2004 ◽  
Vol 816 ◽  
Author(s):  
Arun Vijayakumar ◽  
Tianbao Du ◽  
Kalpathy B. Sundaram ◽  
Vimal Desai

AbstractChemical mechanical planarization (CMP) has found extensive application in the fabrication of micro-electro-mechanical systems (MEMS). Nickel and Nickel based alloys are known to possess favorable properties that make them promising candidates to realize movable structures for MEMS applications. The development of CMP slurry chemistry for Ni that provides good CMP performance is the key in enabling CMP technology for Nickel based MEMS device fabrication. Unfortunately, very little work has been reported in terms of the electrochemical interaction of Ni with various CMP slurry constituents such as oxidizers, complexants and inhibitors. In this study, CMP of nickel was performed using H2O2 as oxidizer and alumina particles as abrasives. Electrochemical techniques were used to investigate the dissolution/passivation behavior of high-purity Ni under static and dynamic conditions in slurries at pH 4 with the addition of oxidizer, complexing agent and nickel salt. The affected surface layers of the statically etched Ni-disc were investigated using X-ray photoelectron spectroscopy (XPS). The Ni removal rate increased with the addition of oxidizer and further increased with the addition of complexing agent and nickel salt. The electrochemical results indicate that the surface chemistry and electrochemical characteristic of Ni play an important role in controlling the polishing behavior.


2003 ◽  
Vol 767 ◽  
Author(s):  
Arun Vijayakumar ◽  
Tianbao Du ◽  
Kalpathy B. Sundaram ◽  
Vimal Desai

AbstractCopper metallization in sub-0.18 μm semiconductor devices is achieved by combining the dual damascence techniques followed by chemical mechanical planarization (CMP). Tantalum and its nitride have been identified as the diffusion barrier layer for copper metallization. However, the wide differences in properties between copper and tantalum layers result in selectivity problems during CMP process. The aim of this work is to obtain a better understanding on the slurry selectivity for copper and tantalum and to develop slurries with best selectivity performance. In this work, the effect of several chemical parameters (abrasive type, oxidizer type, concentration, pH etc.) was studied through static and dynamic tests using advanced electrochemical techniques and surface analysis techniques. The surface layers of the statically etched copper and tantalum discs were investigated using X-ray photoelectron spectroscopy (XPS) and surface planarity was studied using atomic force microscopy (AFM). Polishing rates results show that alumina-based slurry polished copper very well whereas tantalum removal rate was low. However, for the silica-based slurry the tantalum shows much higher removal rate than copper and better surface planarity was obtained.


1992 ◽  
Vol 114 (1) ◽  
pp. 61-67 ◽  
Author(s):  
V. J. Novotny ◽  
T. E. Karis ◽  
N. W. Johnson

Lubrication of particulate magnetic recording media improves their mechanical durability in sliding and flying by several orders of magnitude compared with unlubricated media. Lubricant removal, degradation, and recovery were studied using microslit scanning Fourier transform infrared spectroscopy and microspot scanning X-ray photoelectron spectroscopy. These techniques measure the total and surface lubricant amounts in the porous film, respectively. Lubricant dynamics were compared for two physisorbed polyperfluoroalkylether lubricants of similar molecular weight but different molecular structure—Y with a CF3 side group and Z with linear chains. The bulk viscosity of Y was about ten times higher than the viscosity of Z. In sliding, the lubricant removal rate of Y was significantly higher than that of Z while in flying the removal rates were reversed. Removal rates in sliding were orders of magnitude higher than those in flying. Effective lateral diffusion coefficients estimated from the rate of lubricant reflow back to the depleted tracks were close to inversely proportional to the bulk viscosity. During sliding and flying both lubricants degraded as evidenced by chemically altered lubricant detected on the surfaces after dissolution of undegraded lubricant.


2001 ◽  
Vol 671 ◽  
Author(s):  
Anurag Jindal ◽  
Ying Li ◽  
S. V. Babu

ABSTRACTpH has a strong effect on the polish rates of copper (Cu) and tantalum (Ta) [1]. In this paper, removal rates of Cu and Ta using aqueous slurries containing alumina and silica abrasives in H2O2-glycine solution are studied at varying pH values. It is observed that variation in the Cu and Ta removal rates is a direct result of the change in surface characteristics of the films. Surface characteristics such as presence/absence of a passivating layer and hardness of such layer vary with pH and hence result in removal rate variation. It is also shown that a favorable Cu/Ta polish rate selectivity can be obtained by adjusting the pH of the slurry.


2003 ◽  
Vol 767 ◽  
Author(s):  
J. Lu ◽  
J.E. Garland ◽  
C.M. Petite ◽  
S.V. Babu ◽  
D. Roy

AbstractThe process of copper chemical-mechanical planarization (CMP) can be considered as an erosion corrosion process. Such a process can be efficiently studied by in situ and ex situ electrochemical techniques, such as potentiodynamic scan and electrochemical impedance spectroscopy (EIS). Using a copper disk as the working electrode in an electrochemical cell, slurries with different oxidizer concentrations have been investigated with the aforementioned techniques. Corresponding dissolution tests were also studied and compared. It is shown that changing the oxidizer concentration leads to the formation of surface films with different structure and composition on the copper surface during CMP process. The nature of these films controls the rate of copper corrosion. These results could be used to explain the change of copper removal rate in different oxidizer concentration, as well as to understand the copper CMP mechanism.


2003 ◽  
Vol 767 ◽  
Author(s):  
Ying Luo ◽  
Tianbao Du ◽  
Vimal Desai

AbstractThe present investigation was focused on understanding of the oxidation, dissolution and modification of Cu surface in slurries at various pH using hydrogen peroxide as oxidizer, glycine as complexing agent and 3-amino-triazol (ATA) as inhibitor during Cu-CMP. The electrochemical process involved in the oxidative dissolution of copper was investigated by potentiodynamic polarization studies. Surface modification of copper was investigated using Xray photoelectron spectroscopy to understand the interaction of Cu-H2O2-glycine-ATA during CMP. In the absence of glycine and ATA, the copper removal rate is found to be high in a slurry with 5% H2O2 at pH 2, then it decreases with increasing pH and reaches the minimum at pH 6, it continuously increases at alkaline condition. In the presence of 0.01M glycine, the removal rate of copper decreases in acidic slurries while increases significantly in alkaline slurries. With the further addition of ATA, the copper removal rate was reduced. However, better surface planarity was obtained. The present investigation enhanced understanding of the mechanism of Cu CMP in the presence of oxidizer, complexing agent and inhibitor for formulation of a highly effective CMP-slurry.


2003 ◽  
Vol 767 ◽  
Author(s):  
Venkata Gorantla ◽  
S.V. Babu

AbstractTwo complexing agents, glycine and citric acid, in hydrogen peroxide based slurries for planarizing copper have been compared. Copper dissolution and polish rates and in situ electrochemical experimental results at various slurry pH values and hydroxyl radical concentrations at pH=8.4 are presented. It was observed that the pH of the slurry has a strong influence on copper dissolution and polish rates. While high copper removal rates were observed with citric acid-peroxide solutions at low pH values, glycineperoxide system yielded high Cu removal rates at alkaline pH values. Copper dissolution rates in both the systems at pH 4 and 8 were consistent with the electrochemical measurements. The concentration of hydroxyl radicals generated in citric acid-peroxide system was less than that of those generated in glycine peroxide system at pH=8.4 indicating low copper removal rates at alkaline conditions in the former system.


2014 ◽  
Vol 607 ◽  
pp. 74-78 ◽  
Author(s):  
Jing Li ◽  
Xin Chun Lu ◽  
Zong Bo Zhang

During the process of chemical mechanical planarization (CMP) of copper, benzotriazole (BTA) is the most commonly used inhibitor in the slurry. Though the corrosion inhibition mechanism has been studied widely, the mechanism of BTA layer on copper surface in CMP slurries should be further investigated. In this paper, the adsorption mechanisms of BTA were studied by static corrosion tests. Besides, the surface composition was measured by XPS. Combining with CMP experiments, the material removal mechanism of copper CMP depending on pH values was investigated. It was found that the formation of passive film, consisting of Cu-BTA complex, adsorption of BTA and copper oxides, played a dominant role under acidic conditions. While the surface film composed of adsorption layer of BTA and copper oxides under alkaline conditions. The inhibition mechanism of BTA varied with pH values, resulted in corresponding changes of material removal rate and coefficients of friction.


2004 ◽  
Vol 126 (1) ◽  
pp. 185-199 ◽  
Author(s):  
Jen Fin Lin ◽  
Junne Dar Chern ◽  
Yang Hui Chang ◽  
Ping Lin Kuo ◽  
Ming Shih Tsai

In the chemical mechanical planarization of a copper-film silicon wafer, the average Reynolds equation with flow factors has also been developed for a cylindrical coordinate system to study the mixed lubrication. The pad’s elastic deformations are considered in the evaluation of the contact pressure arising at the interface of a pad’s asperity and the wafer. The normal force acting on the wafer by an abrasive particle is thus obtained in order to calculate the elastic and plastic deformations of the copper film with a thin passivation layer. A theoretical abrasive wear model is developed to evaluate the removal rate of the copper film. The increase in the real contact area of an abrasive, due to the frictional force produced at the interface by adhesive wear, is also taken into account. A nano tester was applied to measure the composite hardness and Young’s modulus of the copper-film wafer with a passivation layer. These two material properties are of importance in the calculation of wafer’s theoretical removal rate. Experimental results for the removal rates of the copper film are exhibited to compare with that predicted by the present theoretical model. Fairly good agreement exists in the trends of the removal rates varying in the radial direction and the mean removal rates evaluated at different operating conditions.


1998 ◽  
Vol 38 (8-9) ◽  
pp. 213-221 ◽  
Author(s):  
Mohamed F. Hamoda ◽  
Ibrahim A. Al-Ghusain

Performance data from a pilot-plant employing the four-stage aerated submerged fixed film (ASFF) process treating domestic wastewater were analyzed to examine the organic removal rates. The process has shown high BOD removal efficiencies (> 90%) over a wide range of hydraulic loading rates (0.04 to 0.68 m3/m2·d). It could also cope with high hydraulic and organic loadings with minimal loss in efficiency due to the large amount of immobilized biomass attained. The organic (BOD and COD) removal rate was influenced by the hydraulic loadings applied, but organic removal rates of up to 104 kg BOD/ m2·d were obtained at a hydraulic loading rate of 0.68 m3/m2·d. A Semi-empirical model for the bio-oxidation of organics in the ASFF process has been formulated and rate constants were calculated based on statistical analysis of pilot-plant data. The relationships obtained are very useful for analyzing the design and performance of the ASFF process and a variety of attached growth processes.


Sign in / Sign up

Export Citation Format

Share Document