Process Feasibility and Reliability Performance of Fine Pitch Si Bare Chip Embedded in Through Cavity of Substrate Core

Author(s):  
Younggun Han ◽  
Osamu Horiuchi ◽  
Shigehiro Hayashi ◽  
Kanta Nogita ◽  
Yoshihisa Katoh ◽  
...  
2013 ◽  
Vol 2013 (DPC) ◽  
pp. 001870-001893
Author(s):  
Rajesh Katkar ◽  
Zhijun Zhao ◽  
Ron Zhang ◽  
Rey Co ◽  
Laura Mirkarimi

Existing Package-on-Package (PoP) solutions are rapidly approaching the logic memory bandwidth capacity in the multi-core mobile processor packages. Package on Package stack using the conventional solder balls has a serious pitch limitation below 400um. The through mold via interconnects may reduce the pitch to 300um; however, this technology is believed to reach a limitation below 300 um. Other approaches including the use of PCB interposers between the Logic and the memory face similar challenges; however, they are cumbersome in the assembly process and expensive. Although Through Silicon Via stacking is expected to achieve the ultimate high bandwidth required to support multi-core mobile processors, the technology must overcome the challenges in process, infrastructure, supply chain and cost. Bond Via Array (BVA) technology addresses all of these issues while enabling high bandwidth PoP stacking of more than 1000 high aspect ratio interconnects at less than 200um within the standard package footprint. BVA is a cost effective, ultra-fine pitch, high density PoP stacking solution that will assist in driving high logic-memory bandwidth applications with standard assembly equipment and processes. This is achieved by encapsulating the logic package after forming free-standing wire bonds along the periphery of its flip chip substrate. The wire protrusions formed above the mold cap at the top of the package are then connected to the BGA at the bottom of the memory package during a standard reflow operation. In this work, the initial evaluation test vehicle with 432 PoP interconnects at 240um pitch within a standard 14 x 14mm package foot print is demonstrated. The important technological challenges we overcame to fabricate the first prototypes will be discussed. The reliability performance describing the temperature cycling, high temperature storage, autoclave and drop testing will be discussed. Finite element analysis modeling used to optimize the package structure will be presented.


2012 ◽  
Vol 2012 ◽  
pp. 1-7 ◽  
Author(s):  
C. L. Gan ◽  
E. K. Ng ◽  
B. L. Chan ◽  
U. Hashim ◽  
F. C. Classe

Bondpad cratering, Cu ball bond interface corrosion, IMD (intermetal dielectric) cracking, and uncontrolled post-wirebond staging are the key technical barriers in Cu wire development. This paper discusses the UHAST (unbiased HAST) reliability performance of Cu wire used in fine-pitch BGA package. In-depth failure analysis has been carried out to identify the failure mechanism under various assembly conditions. Obviously green mold compound, low-halogen substrate, optimized Cu bonding parameters, assembly staging time after wirebonding, and anneal baking after wirebonding are key success factors for Cu wire development in nanoelectronic packaging. Failure mechanisms of Cu ball bonds after UHAST test and CuAl IMC failure characteristics have been proposed and discussed in this paper.


2012 ◽  
Vol 2012 (DPC) ◽  
pp. 001432-001451
Author(s):  
Anupam Choubey ◽  
E. Anzures ◽  
A. Dhoble ◽  
D. Fleming ◽  
M. Gallagher ◽  
...  

Current demands of the industry on performance and cost has triggered the electronics industry to use high I/O counts semiconductor packages. Copper pillar technology has been widely adopted for introducing high I/O counts in Flip Chip and 3D Chip Stacking. With the introduction of flipchip technology new avenues have been generated involving 3D chip stacking to expand the need for high performance. With the increase in the demand for high density, copper pillar technology is being adopted in the industry to address the fine pitch requirements in addition to providing enhanced thermal and electrical performance. For this study, Copper pillars and SnAg were electrolytically deposited using Dow's electroplating chemistry on internally developed test structures. After plating, wafers were diced and bonded using thermocompression bonding techniques. Copper pillar technology has been enabled to pass reliability requirements by using Underfill materials during the bonding. Underfill materials assist in redistributing the stress generated during reliability such as thermal fatigue testing. Out of the several Underfill technologies available, we have focused on pre-applied or wafer level underfill materials with 60% silica filler for this study. In the pre-applied underfill process the underfill is applied prior to bonding by coating directly on the whole wafer. Pre-applied underfill reduces the underfill dispense process time by being present prior to bonding. In this study, we have demonstrated the application of wafer level underfill for fine pitch bonding of internally developed test vehicles with SnAg-capped copper pillars with 25 μm diameter and 50 μm bump pitch. This paper demonstrates bonding alignment for fine pitch assembly with wafer level underfill to achieve 100% good solder joins after bonding. Wafer level underfill has been demonstrated successfully to bond and pass JEDEC level 3 preconditioning and standard TCT, HTS and HAST reliability tests. This paper also discusses defect mechanisms which have been found to optimize the bonding process and reliability performance. Alan/Rey ok move from Flip Chip and Wafer Level Packaging 1-6-12.


Author(s):  
Kah Chin Cheong

Abstract The application of underfill materials for board level assembly has been increasing rapidly in semiconductor industry to enhance strength and reliability performance of semiconductor components in harsh environments. However, due to the intractability of the capillary underfill after curing, extracting a chip scale package (CSP) device from a printed circuit board (PCB) with a combination of mold compound and capillary underfill for ATE testing has become difficult and challenging. This poses a severe limitation to this technology regarding electrical testing and failure analysis. In order to address the challenge in extracting a CSP device from an underfilled PCB without inducing any mechanical damage, a series of sample preparation techniques has been introduced. This paper discusses the techniques in removing the fine pitch CSP device from underfilled PCB module in a relatively simple way which includes application of chemical solutions, de-soldering, residual solder remnants cleaning and reballing. The established process enables ATE testing, electrical testing and failure analysis to be performed on any CSP devices. An electrical evaluation on the efficiency of a CSP device after a series of sample preparation processes will also be highlighted.


2013 ◽  
Vol 2013 ◽  
pp. 1-9 ◽  
Author(s):  
C. L. Gan ◽  
E. K. Ng ◽  
B. L. Chan ◽  
F. C. Classe ◽  
T. Kwuanjai ◽  
...  

Wearout reliability and diffusion kinetics of Au and Pd-coated Cu (PdCu) ball bonds are useful technical information for Cu wire deployment in nanoscale semiconductor device packaging. This paper discusses the HAST (with bias) and UHAST (unbiased HAST) wearout reliability performance of Au and PdCu wires used in fine pitch BGA packages. In-depth failure analysis has been carried out to identify the failure mechanism under various wearout conditions. Intermetallic compound (IMC) diffusion constants and apparent activation energies (Eaa) of both wire types were investigated after high temperature storage life test (HTSL). Au bonds were identified to have faster IMC formation, compared to slower IMC growth of PdCu. PdCu wire was found to exhibit equivalent or better wearout reliability margin compared to conventional Au wire bonds. Failure mechanisms of Au, Cu ball bonds post-HAST and UHAST tests are been proposed, and both Au and PdCu IMC diffusion kinetics and their characteristics are discussed in this paper.


2013 ◽  
Vol 135 (2) ◽  
Author(s):  
C. L. Gan ◽  
U. Hashim

Wearout reliability and high temperature storage life (HTSL) activation energy of Au and Pd-coated Cu (PdCu) ball bonds are useful technical information for Cu wire deployment in nanoscale semiconductor device packaging. This paper discusses the influence of wire type on the wearout reliability performance of Au and PdCu wire used in fine pitch BGA package after HTSL stress at various aging temperatures. Failure analysis has been conducted to identify the failure mechanism after HTSL wearout conditions for Au and PdCu ball bonds. Apparent activation energies (Eaa) of both wire types are investigated after HTSL test at 150 °C, 175 °C and 200 °C aging temperatures. Arrhenius plot has been plotted for each ball bond types and the calculated Eaa of PdCu ball bond is 0.85 eV and 1.10 eV for Au ball bond in 110 nm semiconductor device. Obviously Au ball bond is identified with faster IMC formation rate with IMC Kirkendall voiding while PdCu wire exhibits equivalent wearout and or better wearout reliability margin compare to conventional Au wirebond. Lognormal plots have been established and its mean to failure (t50) have been discussed in this paper.


2010 ◽  
Vol 2010 (1) ◽  
pp. 000537-000542
Author(s):  
Nitesh Kumbhat ◽  
Fuhan Liu ◽  
Venky Sundaram ◽  
Vivek Sridharan ◽  
Abhishek Choudhury ◽  
...  

Embedded actives and passives are being pursued by chip-first and wafer-level fan-out approaches to address high functionality and miniaturization. A next generation embedding alternative- “chip-last embedding”, which retains all the benefits of chip-first, has been demonstrated at Georgia Tech for complex multi-component heterogeneous systems. This paper presents detailed results from the first demonstration of this novel technology called Embedded MEMS, Actives and Passives (EMAP) with Chip-Last (CL) interconnections. This technology is targeted at highly integrated modules and systems with multiple 2D and 3D ICs for RF, Digital, Analog, MEMS and passive devices. Ultra-thin (55μm) silicon test dies were embedded in a 60μm deep cavity within 6-metal layer substrates yielding a total module thickness of 0.22mm. The robustness of substrate materials and processes was demonstrated using thermal cycling of the blind-vias and through-holes. The embedded IC was bonded to the substrate at 160°C by ultra-fine pitch (30–50μm) and low-profile (10–15μm) Cu-to-Cu interconnections with polymer adhesives. Two different die-sizes 3mm × 3mm and 7mm × 7mm were investigated for reliability performance of these interconnections, which passed 1000 thermal cycles, in addition to Highly Accelerated Stress Test (HAST) and High Temperature Storage Test (HTS). Comprehensive analysis of new materials and processes used in the chip-last embedding technology has been carried out demonstrating the advantages and robustness of this promising technology. Due to manufacturing process simplicity and unparalleled set of benefits, the chip-last technology provides the benefits of chip-first while enabling highly miniaturized, multi-band, high performance modules with embedded actives and passives.


2021 ◽  
Author(s):  
Bart Vandevelde ◽  
Chinmay Nawghane ◽  
Riet Labie ◽  
Ralph Lauwaert ◽  
Daniel Werkhoven

Abstract SnBi based solder alloys become an interesting alternative for standard SnAgCu as they can be used to solder components at much lower temperature. The typically 50°C lower solder reflow temperature is less damaging for PCB and components, and also prevents hot tear and head-in-pillow failures for large fine pitch BGA components. A reasonable concern for these low-melting temperature solders is the thermal cycling reliability performance, in particular for harsh conditions such as automotive products. In this work, thermal cycling testing and failure analysis have been performed on 9 × 9 mm size QFN components and large chip components (2010 and 2512) which are typically sensitive to thermal fatigue. The results are benchmarked to standard SAC alloy. Also the process advantages from the low temperature solder alloys are depicted in this paper. Finally, the effect of Pb contamination on this SnBi based solder is investigated.


Sign in / Sign up

Export Citation Format

Share Document