scholarly journals Preparation and Properties of Low Dielectric Constant Siloxane/Carbosilane Hybrid Benzocyclobutene Resin Composites

Materials ◽  
2021 ◽  
Vol 14 (21) ◽  
pp. 6548
Author(s):  
Xian Li ◽  
Nan Zhong ◽  
Huan Hu ◽  
Yufan Zhang ◽  
Yawen Huang ◽  
...  

Benzocyclobutene-modified silsesquioxane (BCB-POSS) and divinyl tetramethyl disiloxane-bisbenzocyclobutene (DVS-BCB) prepolymer were introduced into the containing benzocyclobutene (BCB) unit matrix resin P(4-MB-co-1-MP) polymerized from 1-methyl-1-(4-benzocyclobutenyl) silacyclobutane (4-MSCBBCB) and 1-methyl-1-phenylsilacyclobutane (1-MPSCB), respectively. The low dielectric constant (low-k) siloxane/carbosilane hybrid benzocyclobutene resin composites, P(4-MB-co-1-MP)/BCB-POSS and P(4-MB-co-1-MP)/DVS-BCB, were prepared. The curing processes of the composites were assessed via Fourier-transform infrared spectroscopy (FTIR) and differential scanning calorimetry (DSC). The effects on dielectric properties and heat resistance of those composites with different proportion of BCB-POSS and DVS-BCB were investigated using an impedance analyzer and thermogravimetric analyzer (TGA), respectively. The thermal curing of composites could be carried out by ring-opening polymerization (ROP) of the BCB four-member rings of BCB-POSS or DVS-BCB and those of P(4-MB-co-1-MP). With increasing the proportion of BCB-POSS to 30%, the 5% weight loss temperature (T5%) of P(4-MB-co-1-MP)/BCB-POSS composites was raised visibly, whereas the dielectric constant (k) was decreased owing to the introduction of nanopores into POSS. For P(4-MB-co-1-MP)/DVS-BCB composites, the T5% and k were slightly raised with increasing the proportion of DVS-BCB. The above results indicated that the BCB-POSS showed advantages over conventional fillers to simultaneously improve thermostability and decrease k.

1999 ◽  
Vol 565 ◽  
Author(s):  
Y. Shimogaki ◽  
S. W. Lim ◽  
E. G. Loh ◽  
Y. Nakano ◽  
K. Tada ◽  
...  

AbstractLow dielectric constant F-doped silicon oxide films (SiO:F) can be prepared by adding fluorine source, like as CF4 to the conventional PECVD processes. We could obtain SiO:F films with dielectric constant as low as 2.6 from the reaction mixture of SiH4/N2 O/CF4. The structural changes of the oxides were sensitively detected by Raman spectroscopy. The three-fold ring and network structure of the silicon oxides were selectively decreased by adding fluorine into the film. These structural changes contribute to the decrease ionic polarization of the film, but it was not the major factor for the low dielectric constant. The addition of fluorine was very effective to eliminate the Si-OH in the film and the disappearance of the Si-OH was the key factor to obtain low dielectric constant. A kinetic analysis of the process was also performed to investigate the reaction mechanism. We focused on the effect of gas flow rate, i.e. the residence time of the precursors in the reactor, on growth rate and step coverage of SiO:F films. It revealed that there exists two species to form SiO:F films. One is the reactive species which contributes to increase the growth rate and the other one is the less reactive species which contributes to have uniform step coverage. The same approach was made on the PECVD process to produce low-k C:F films from C2F4, and we found ionic species is the main precursor to form C:F films.


2003 ◽  
Vol 766 ◽  
Author(s):  
Jin-Heong Yim ◽  
Jung-Bae Kim ◽  
Hyun-Dam Jeong ◽  
Yi-Yeoul Lyu ◽  
Sang Kook Mah ◽  
...  

AbstractPorous low dielectric films containing nano pores (∼20Å) with low dielectric constant (<2.2), have been prepared by using various kinds of cyclodextrin derivatives as porogenic materials. The pore structure such as pore size and interconnectivity can be controlled by changing functional groups of the cyclodextrin derivatives. We found that mechanical properties of porous low-k thin film prepared with mCSSQ (modified cyclic silsesquioxane) precursor and cyclodextrin derivatives were correlated with the pore interconnection length. The longer the interconnection length of nanopores in the thin film, the worse the mechanical properties of the thin film (such as hardness and modulus) even though the pore diameter of the films were microporous (∼2nm).


RSC Advances ◽  
2015 ◽  
Vol 5 (82) ◽  
pp. 66511-66517 ◽  
Author(s):  
Albert S. Lee ◽  
Sung Yeoun Oh ◽  
Seung-Sock Choi ◽  
He Seung Lee ◽  
Seung Sang Hwang ◽  
...  

Low dielectric constant poly(methyl)silsesquioxane spin-on-glass resins incorporating a cyclic precursor exhibited exceptional mechanical properties to withstand CMP processes.


2002 ◽  
Vol 124 (4) ◽  
pp. 362-366 ◽  
Author(s):  
Christopher L. Borst ◽  
Dipto G. Thakurta ◽  
William N. Gill ◽  
Ronald J. Gutmann

Successful integration of copper and low dielectric constant (low-k) materials is dependent on robust chemical-mechanical planarization (CMP) during damascene patterning. This process includes the direct removal of copper and interaction of the copper slurry with the underlying dielectric. Experiments were designed and performed to examine the CMP of two low-k polymers from Dow Chemical Company, bis-benzocyclobutene (BCB*, k=2.65) and “silicon-application low-k material” (SiLK* resin, k=2.65) with both acidic slurries suitable for copper damascene patterning and a KH phthalate-based model slurry developed for SiLK. Blanket polymer films were polished in order to determine the interactions that occur when copper and liner materials are removed by the damascene CMP process. Removal rates were obtained from material thickness measurements, post-CMP surface topography from AFM scans, and post-CMP surface chemistry from XPS measurements. Physically based wafer-scale models are presented which are compatible with the experimental results.


RSC Advances ◽  
2015 ◽  
Vol 5 (60) ◽  
pp. 48898-48907 ◽  
Author(s):  
Vaithilingam Selvaraj ◽  
K. P. Jayanthi ◽  
Thatchanamurthy Lakshmikandhan ◽  
Muthukaruppan Alagar

Scheme shows the synthesis of a cardanol-based polybenzoxazine composite for low-dielectric constant applications.


2011 ◽  
Vol 110-116 ◽  
pp. 5380-5383
Author(s):  
Tejas R. Naik ◽  
Veena R. Naik ◽  
Nisha P. Sarwade

Scaling down the integrated circuits has resulted in the arousal of number of problems like interaction between interconnect, crosstalk, time delay etc. These problems can be overcome by new designs and by use of corresponding novel materials, which may be a solution to these problems. In the present paper we try to put forward very recent development in the use of novel materials as interlayer dielectrics (ILDs) having low dielectric constant (k) for CMOS interconnects. The materials presented here are porous and hybrid organo-inorganic new generation interlayer dielectric materials possessing low dielectric constant and better processing properties.


1999 ◽  
Vol 565 ◽  
Author(s):  
J. N. Bremmer ◽  
D. Gray ◽  
Y. Liu ◽  
K. Gruszynski ◽  
S. Marcus

AbstractLow dielectric constant hydrogen silsesquioxane films were achieved by rapid thermal cure processing with production viable equipment. A reduced dielectric constant of k = 2.5–2.6 is demonstrated by optimizing rapid thermal cure process conditions to produce low density hydrogen silsesquioxane thin films. This is a significant reduction relative to production proven furnace cure processed hydrogen silsesquioxane with k = 2.9. Concurrent with reduced k performance is a characteristic film expansion which contributes to formation of a low density structure. A mechanism for film expansion and relevance to low k performance is described; and issues relative to integration of rapid thermal processed low k hydrogen silsesquioxane are discussed.


2004 ◽  
Vol 812 ◽  
Author(s):  
Oscar van der Straten ◽  
Yu Zhu ◽  
Jonathan Rullan ◽  
Katarzyna Topol ◽  
Kathleen Dunn ◽  
...  

AbstractA previously developed metal-organic atomic layer deposition (ALD) tantalum nitride (TaNx) process was employed to investigate the growth of TaNx liners on low dielectric constant (low-k) materials for liner applications in advanced Cu/low-k interconnect metallization schemes. ALD of TaNx was performed at a substrate temperature of 250°C by alternately exposing low-k materials to tertbutylimido-tris(diethylamido)tantalum (TBTDET) and ammonia (NH3), separated by argon purge steps. The dependence of TaNx film thickness on the number of ALD cycles performed on both organosilicate and organic polymer-based low-k materials was determined and compared to baseline growth characteristics of ALD TaNx on SiO2. In order to assess the effect of the deposition of TaNx on surface roughness, atomic force microscopy (AFM) measurements were carried out prior to and after the deposition of TaNx on the low-k materials. The stability of the interface between TaNx and the low-k materials after thermal annealing at 350°C for 30 minutes was studied by examining interfacial roughness profiles using cross-sectional imaging in a high-resolution transmission electron microscope (HR-TEM). The wetting and adhesion properties of Cu/low-k were quantified using a solid-state wetting experimental methodology after integration of ALD TaNx liners with Cu and low-k dielectrics.


1998 ◽  
Vol 511 ◽  
Author(s):  
Y. Matsubara ◽  
K. Endo ◽  
M. Iguchi ◽  
N. Ito ◽  
K. Aoyama ◽  
...  

ABSTRACTWe have developed a new interconnect technique using a low-k (εt,=2.5) organic interlayer (fluorinated amorphous carbon: a-C:F) and a low-resistivity metal line (copper). The new technique attains a duction in both the capacitance of the interlayer and the resistance of the metal line. We found that a-C:F on Cu reduces reflection to 10% for Kr-F line lithography. However, a-C:F cannot act as a protection layer for oxidation even at 200°C in atmospheric ambient annealing. Cu diffusion into a-C:F is about 100 nm at the annealing temperature of 450°C. The resistivity of the Cu line is 2.3–2.4 μΩ · cm for the 0.5-μm line width. Although the leakage current of the a-C:F ILD is one order higher than that of the SiO2 ILD, electrical isolation is acceptable at < 20 V when annealing is carried out at 350°C in a vacuum.


Coatings ◽  
2021 ◽  
Vol 11 (3) ◽  
pp. 314
Author(s):  
Chih-Yen Lee ◽  
Chi-Yang Yan ◽  
Yi-Lung Cheng

Plasma damage and metal ion penetration are critical issues for porous low-dielectric-constant (low-k) materials used in the back-end-of-line interconnects. This study proposed a novel process with in-situ repairing plasma-induced damage and capping a barrier for porous low-k materials by Hexamethyldisilazane (HDMS) plasma treatment. For a plasma-damaged porous low-k material, its surface hydrophilic state was transformed to hydrophobic state by HDMS plasma treatment, revealing that damage was repaired. Simultaneously, a dielectric film was capped onto the porous low-k material, and displayed better barrier capability against Cu migration. Additionally, the breakdown reliability of the stacked dielectric was enhanced by the means of HDMS plasma treatment. The optimized HDMS plasma treatment time was found to be 10 s. Therefore, this proposed HDMS plasma treatment processing is a promising technique for highly applicable low-k material used for advanced technology nodes.


Sign in / Sign up

Export Citation Format

Share Document