Failure Analysis of Flip-Chip Interconnections Through Acoustic Microscopy

Author(s):  
O. Diaz de Leon ◽  
M. Nassirian ◽  
C. Todd ◽  
R. Chowdhury

Abstract Integration of circuits on semiconductor devices with resulting increase in pin counts is driving the need for improvements in packaging for functionality and reliability. One solution to this demand is the Flip- Chip concept in Ultra Large Scale Integration (ULSI) applications [1]. The flip-chip technology is based on the direct attach principle of die to substrate interconnection.. The absence of bondwires clearly enables packages to become more slim and compact, and also provides higher pin counts and higher-speeds [2]. However, due to its construction, with inherent hidden structures the Flip-Chip technology presents a challenge for non-destructive Failure Analysis (F/A). The scanning acoustic microscope (SAM) has recently emerged as a valuable evaluation tool for this purpose [3]. C-mode scanning acoustic microscope (C-SAM), has the ability to demonstrate non-destructive package analysis while imaging the internal features of this package. Ultrasonic waves are very sensitive, particularly when they encounter density variations at surfaces, e.g. variations such as voids or delaminations similar to air gaps. These two anomalies are common to flip-chips. The primary issue with this package technology is the non-uniformity of the die attach through solder ball joints and epoxy underfill. The ball joints also present defects as open contacts, voids or cracks. In our acoustic microscopy study packages with known defects are considered. It includes C-SCAN analysis giving top views at a particular package interface and a B-SCAN analysis that provides cross-sectional views at a desired point of interest. The cross-section analysis capability gives confidence to the failure analyst in obtaining information from a failing area without physically sectioning the sample and destroying its electrical integrity. Our results presented here prove that appropriate selection of acoustic scanning modes and frequency parameters leads to good reliable correlation between the physical defects in the devices and the information given by the acoustic microscope.

Author(s):  
Sebastian Brand ◽  
Matthias Petzold ◽  
Peter Czurratis ◽  
Peter Hoffrogge

Abstract In industrial manufacturing of microelectronic components, non-destructive failure analysis methods are required for either quality control or for providing a rapid fault isolation and defect localization prior to detailed investigations requiring target preparation. Scanning acoustic microscopy (SAM) is a powerful tool enabling the inspection of internal structures in optically opaque materials non-destructively. In addition, depth specific information can be employed for two- and three-dimensional internal imaging without the need of time consuming tomographic scan procedures. The resolution achievable by acoustic microscopy is depending on parameters of both the test equipment and the sample under investigation. However, if applying acoustic microscopy for pure intensity imaging most of its potential remains unused. The aim of the current work was the development of a comprehensive analysis toolbox for extending the application of SAM by employing its full potential. Thus, typical case examples representing different fields of application were considered ranging from high density interconnect flip-chip devices over wafer-bonded components to solder tape connectors of a photovoltaic (PV) solar panel. The progress achieved during this work can be split into three categories: Signal Analysis and Parametric Imaging (SA-PI), Signal Analysis and Defect Evaluation (SA-DE) and Image Processing and Resolution Enhancement (IP-RE). Data acquisition was performed using a commercially available scanning acoustic microscope equipped with several ultrasonic transducers covering the frequency range from 15 MHz to 175 MHz. The acoustic data recorded were subjected to sophisticated algorithms operating in time-, frequency- and spatial domain for performing signal- and image analysis. In all three of the presented applications acoustic microscopy combined with signal- and image processing algorithms proved to be a powerful tool for non-destructive inspection.


Author(s):  
Michael Kögel ◽  
Sebastian Brand ◽  
Frank Altmann

Abstract Signal processing and data interpretation in scanning acoustic microscopy is often challenging and based on the subjective decisions of the operator, making the defect classification results prone to human error. The aim of this work was to combine unsupervised and supervised machine learning techniques for feature extraction and image segmentation that allows automated classification and predictive failure analysis on scanning acoustic microscopy (SAM) data. In the first part, conspicuous signal components of the time-domain echo signals and their weighting matrices are extracted using independent component analysis. The applicability was shown by the assisted separation of signal patterns to intact and defective bumps from a dataset of a CPU-device manufactured in flip-chip technology. The high success-rate was verified by physical cross-sectioning and high-resolution imaging. In the second part, the before mentioned signal separation was employed to generate a labeled dataset for training and finetuning of a classification model based on a one-dimensional convolutional neural network. The learning model was sensitive to critical features of the given task without human intervention for classification between intact bumps, defective bumps and background. This approach was evaluated on two individual test samples that contained multiple defects in the solder bumps and has been verified by physical inspection. The verification of the classification model reached an accuracy of more than 97% and was successfully applied to an unknown sample which demonstrates the high potential of machine learning concepts for further developments in assisted failure analysis.


Author(s):  
Minhua Lu ◽  
Carla Bailey ◽  
Hsichang Liu ◽  
Krystyna Semkow

A non-destructive measurement of ball limiting metallurgy (BLM) undercut is demonstrated by using C-mode scanning acoustic microscopy. The results from CSAM measurements agree well with the optical and cross-section data. The implementation of the method in manufacturing will not only save time and cost on destructive failure analysis such as cross section and chemical un-layering, but also provide a way for monitoring process trend for early detection and correction of process abnormalities.


Author(s):  
Yan Li ◽  
Liang Hu ◽  
Gang Li ◽  
Rajen Dias ◽  
Deepak Goyal

Abstract Fault isolation and failure analysis for Si related issues in microelectronic packages need non-destructive and high resolution techniques to reduce the analysis time. This paper illustrates non-destructive and high resolution CSAM techniques, which are shown to be very effective in subtle thin film defect and die edge defect CSAM imaging.


Author(s):  
Andrew J. Komrowski ◽  
N. S. Somcio ◽  
Daniel J. D. Sullivan ◽  
Charles R. Silvis ◽  
Luis Curiel ◽  
...  

Abstract The use of flip chip technology inside component packaging, so called flip chip in package (FCIP), is an increasingly common package type in the semiconductor industry because of high pin-counts, performance and reliability. Sample preparation methods and flows which enable physical failure analysis (PFA) of FCIP are thus in demand to characterize defects in die with these package types. As interconnect metallization schemes become more dense and complex, access to the backside silicon of a functional device also becomes important for fault isolation test purposes. To address these requirements, a detailed PFA flow is described which chronicles the sample preparation methods necessary to isolate a physical defect in the die of an organic-substrate FCIP.


Author(s):  
S.X. Li ◽  
K. Lee ◽  
J. Hulog ◽  
R. Gannamani ◽  
S. Yin

Abstract Package delaminations are often associated with electrical and package reliability problems in IC devices. Delaminations caused by electrical-over-stress (EOS) and moisture expansion during reflow soldering have shown different delamination patterns. A Scanning Acoustic Microscope (SAM) can be used to detect package delaminations. Understanding these delamination signatures can help us quickly identify the failure cause at an early stage of the failure analysis.


Author(s):  
Li Na ◽  
Jawed Khan ◽  
Lonnie Adams

Abstract For stacked die package delamination inspection using C-mode acoustic microscope, traditional interface and thorough scan techniques cannot give enough of information when the delamination occurs in multi-interfaces, and echoes from adjacent interfaces are not sufficiently separated from each other. A thinner thickness in the stacked-die package could complicate C-mode scanning acoustic microscopy (CSAM) analysis and sometimes may lead to false interpretations. The first objective of this paper is to briefly explain the CSAM mechanism. Based on that, some of the drawbacks of current settings in detecting the delamination for stacked-die packages are presented. The last objective is to introduce quantitative B-scan analysis mode (Q-BAM) and Zip-Slice technologies in order to better understand and improve the reliability of detecting the delamination in stacked-die packages. Therefore, a large portion of this paper focuses on the Q-BAM and Zip-Slice data acquisition and image interpretation.


1999 ◽  
Vol 14 (6) ◽  
pp. 2446-2448
Author(s):  
A. Wyler ◽  
G. Golan

A scanning acoustic microscope (SAM) has been used to investigate the structure of thermoplastic leather. This material is formed by pressing fibers of leather under high pressure and moderate temperature. The result is a matrix from transformed, melted fibers in which leftover fibers act as reinforcement. Unlike the scanning electron microscope (SEM), the SAM is able to distinguish between completely and incompletely transformed fibers and also to penetrate the material beneath the surface. The results show that the matrix is built as a domain structure. The advantages of the SAM over the SEM for organic materials are indicated.


2014 ◽  
Vol 2014 (1) ◽  
pp. 000100-000106
Author(s):  
Tom Colosimo ◽  
Horst Clauberg ◽  
Evan Galipeau ◽  
Matthew B. Wasserman ◽  
Michael Schmidt-Lange ◽  
...  

Advancements in electronic packaging performance and cost have historically been driven by higher integration primarily provided by fab shrinks that has followed the well-known Moore's law. However, due to the tremendous and continuously increasing cost of building new fabs, the performance/cost improvements achieved via node shrinks are negated. This leaves packaging innovation as the vehicle to achieve future cost-performance improvements. This has initiated a More-than-Moore idea that has led to vigorous R&D in packaging. Advanced packages which employ ultra-fine pitch flip chip technology for chip-to-substrate, chip-to-chip, or chip-to-interposer for the first level interconnect have been developed as an answer to obtaining higher performance. However, the costs are too high as compared to traditional wire bonding. The status today is that the fundamental technical hurdles of manufacturing the new advanced packages have been solved, but cost reduction and yield improvements have to be addressed for large-scale adoption into high volume manufacturing. In traditional flip chip assembly silicon chips are tacked onto a substrate and then the solder joints are melted and mass reflowed in an oven. This mass reflow technique is troublesome as the pitch of the solder bumps become finer. This is due to the large differences in the thermal expansion coefficient of the die and the substrate, which creates stress at the solder joints and warpage of the package when the die and substrate are heated and cooled together. To mitigate and resolve this issue, thermo-compression bonders have been developed which locally reflow the solder without subjecting the entire substrate to the heating and cooling cycle. This requires that the bondhead undergo heating past the melting point of solder and then cooling down to a low enough temperature to pick the next die from the wafer that is mounted to tape. Machines in the market today can accomplish this temperature cycle in 7 to 15 seconds. This is substantially slower than the standard flip chip process which leads to high cost and is delaying the introduction of these new packages. This paper shows a flip chip bonder with a new heating and cooling concept that will radically improve the productivity of thermo-compression bonding. Data and productivity cycles from this new bond head with heating rates of over 200°C/sec and cooling of faster than 100°C/sec are revealed. Experimental results are shown of exceptional temperature accuracy across the die of 5°C throughout the cycle and better than 3°C at the final heating stage. The high speed thermo-compression bonds are analyzed and the efficacy of the new concept is proven. Excellent temperature uniformity while heating rapidly is an absolute necessity for enabling good solder joints in a fast process. Without good temperature uniformity, additional dwell times need to be incorporated to allow heat to flow to all of the joints, negating any benefits from rapid heating. Whereas the current state-of-that-art is often to program temperature in steps, this bonder can be commanded and accurately follows more complex temperature profiles with great accuracy. Examples of how this profiling can be used to enhance the uniformity and integrity of the joints with non-conductive pastes, film, and without underfill along with the associated productivity improvements will be shown. Tests that show portability across platforms that will lead to set up time and yield improvements and are identified and quantified. Additionally new ideas for materials and equipment development to further enhance productivity and yield are explored.


Sign in / Sign up

Export Citation Format

Share Document